summaryrefslogtreecommitdiff
path: root/biology
diff options
context:
space:
mode:
authorJoseph Mingrone <jrm@FreeBSD.org>2016-12-19 16:52:34 +0000
committerJoseph Mingrone <jrm@FreeBSD.org>2016-12-19 16:52:34 +0000
commit80ed0dcb7e6e4765a3880c72df465296107f5ba3 (patch)
tree1559c9970963ebd34a78b0862b0fdb707f7aab3f /biology
parentAdd a warning about setting both PORTVERSION and DISTVERSION. (diff)
biology/jellyfish: Update to version 2.2.6
Upstream changes since version 2.2.4: - Fix compilation warnings/errors with newer version of gcc (5.3 & 6.1). - Fix bug in parsing function that made it stop on sequences that consist of only empty lines. - New methods in bindings to enumerate the mers of a string. Approved by: AMDmi3 (mentor) Differential Revision: https://reviews.freebsd.org/D8204
Notes
Notes: svn path=/head/; revision=428954
Diffstat (limited to 'biology')
-rw-r--r--biology/jellyfish/Makefile9
-rw-r--r--biology/jellyfish/distinfo5
-rw-r--r--biology/jellyfish/pkg-plist96
3 files changed, 56 insertions, 54 deletions
diff --git a/biology/jellyfish/Makefile b/biology/jellyfish/Makefile
index 7630504652f5..cfaf9f2c5a2a 100644
--- a/biology/jellyfish/Makefile
+++ b/biology/jellyfish/Makefile
@@ -2,7 +2,7 @@
# $FreeBSD$
PORTNAME= jellyfish
-PORTVERSION= 2.2.4
+PORTVERSION= 2.2.6
DISTVERSIONPREFIX= v
CATEGORIES= biology
@@ -16,8 +16,7 @@ BUILD_DEPENDS= yaggo:devel/yaggo
GNU_CONFIGURE= yes
-USES= autoreconf compiler:c++11-lib gmake \
- libtool pathfix pkgconfig
+USES= autoreconf compiler:c++11-lib gmake libtool pathfix pkgconfig
USE_LDCONFIG= yes
USE_GITHUB= yes
@@ -26,7 +25,9 @@ GH_PROJECT= Jellyfish
INSTALL_TARGET= install-strip
-BROKEN_FreeBSD_9_i386= multiple code issues on i386 < 10.0-RELEASE
+PLIST_SUB= VER=${PORTVERSION}
+
+BROKEN_FreeBSD_9_i386= Multiple code issues on i386 < 10.0-RELEASE
BROKEN_aarch64= Fails to compile: error: variable res is uninitialized
.include <bsd.port.pre.mk>
diff --git a/biology/jellyfish/distinfo b/biology/jellyfish/distinfo
index d09583d95dfd..e65980afd910 100644
--- a/biology/jellyfish/distinfo
+++ b/biology/jellyfish/distinfo
@@ -1,2 +1,3 @@
-SHA256 (gmarcais-Jellyfish-v2.2.4_GH0.tar.gz) = 44b6478aed63b859b8287d72b4f9bfb5d513fed334efbc8a9e5783da12ecb3ec
-SIZE (gmarcais-Jellyfish-v2.2.4_GH0.tar.gz) = 658653
+TIMESTAMP = 1482069678
+SHA256 (gmarcais-Jellyfish-v2.2.6_GH0.tar.gz) = 800bc60aaec273dc04296ee7493e714457f2fe88551f76693e5d9f9a679dd35e
+SIZE (gmarcais-Jellyfish-v2.2.6_GH0.tar.gz) = 660858
diff --git a/biology/jellyfish/pkg-plist b/biology/jellyfish/pkg-plist
index 1c0159e20271..e83915ab589e 100644
--- a/biology/jellyfish/pkg-plist
+++ b/biology/jellyfish/pkg-plist
@@ -1,52 +1,52 @@
bin/jellyfish
-include/jellyfish-2.2.4/jellyfish/allocators_mmap.hpp
-include/jellyfish-2.2.4/jellyfish/atomic_bits_array.hpp
-include/jellyfish-2.2.4/jellyfish/atomic_field.hpp
-include/jellyfish-2.2.4/jellyfish/atomic_gcc.hpp
-include/jellyfish-2.2.4/jellyfish/backtrace.hpp
-include/jellyfish-2.2.4/jellyfish/binary_dumper.hpp
-include/jellyfish-2.2.4/jellyfish/bloom_common.hpp
-include/jellyfish-2.2.4/jellyfish/bloom_counter2.hpp
-include/jellyfish-2.2.4/jellyfish/bloom_filter.hpp
-include/jellyfish-2.2.4/jellyfish/circular_buffer.hpp
-include/jellyfish-2.2.4/jellyfish/compare_and_swap.hpp
-include/jellyfish-2.2.4/jellyfish/cooperative_pool.hpp
-include/jellyfish-2.2.4/jellyfish/cooperative_pool2.hpp
-include/jellyfish-2.2.4/jellyfish/cpp_array.hpp
-include/jellyfish-2.2.4/jellyfish/divisor.hpp
-include/jellyfish-2.2.4/jellyfish/dumper.hpp
-include/jellyfish-2.2.4/jellyfish/err.hpp
-include/jellyfish-2.2.4/jellyfish/file_header.hpp
-include/jellyfish-2.2.4/jellyfish/generator_manager.hpp
-include/jellyfish-2.2.4/jellyfish/generic_file_header.hpp
-include/jellyfish-2.2.4/jellyfish/hash_counter.hpp
-include/jellyfish-2.2.4/jellyfish/int128.hpp
-include/jellyfish-2.2.4/jellyfish/jellyfish.hpp
-include/jellyfish-2.2.4/jellyfish/json.h
-include/jellyfish-2.2.4/jellyfish/large_hash_array.hpp
-include/jellyfish-2.2.4/jellyfish/large_hash_iterator.hpp
-include/jellyfish-2.2.4/jellyfish/locks_pthread.hpp
-include/jellyfish-2.2.4/jellyfish/mapped_file.hpp
-include/jellyfish-2.2.4/jellyfish/mer_dna.hpp
-include/jellyfish-2.2.4/jellyfish/mer_dna_bloom_counter.hpp
-include/jellyfish-2.2.4/jellyfish/mer_heap.hpp
-include/jellyfish-2.2.4/jellyfish/mer_iterator.hpp
-include/jellyfish-2.2.4/jellyfish/mer_overlap_sequence_parser.hpp
-include/jellyfish-2.2.4/jellyfish/mer_qual_iterator.hpp
-include/jellyfish-2.2.4/jellyfish/misc.hpp
-include/jellyfish-2.2.4/jellyfish/offsets_key_value.hpp
-include/jellyfish-2.2.4/jellyfish/rectangular_binary_matrix.hpp
-include/jellyfish-2.2.4/jellyfish/simple_circular_buffer.hpp
-include/jellyfish-2.2.4/jellyfish/sorted_dumper.hpp
-include/jellyfish-2.2.4/jellyfish/stdio_filebuf.hpp
-include/jellyfish-2.2.4/jellyfish/storage.hpp
-include/jellyfish-2.2.4/jellyfish/stream_iterator.hpp
-include/jellyfish-2.2.4/jellyfish/stream_manager.hpp
-include/jellyfish-2.2.4/jellyfish/text_dumper.hpp
-include/jellyfish-2.2.4/jellyfish/thread_exec.hpp
-include/jellyfish-2.2.4/jellyfish/time.hpp
-include/jellyfish-2.2.4/jellyfish/token_ring.hpp
-include/jellyfish-2.2.4/jellyfish/whole_sequence_parser.hpp
+include/jellyfish-%%VER%%/jellyfish/allocators_mmap.hpp
+include/jellyfish-%%VER%%/jellyfish/atomic_bits_array.hpp
+include/jellyfish-%%VER%%/jellyfish/atomic_field.hpp
+include/jellyfish-%%VER%%/jellyfish/atomic_gcc.hpp
+include/jellyfish-%%VER%%/jellyfish/backtrace.hpp
+include/jellyfish-%%VER%%/jellyfish/binary_dumper.hpp
+include/jellyfish-%%VER%%/jellyfish/bloom_common.hpp
+include/jellyfish-%%VER%%/jellyfish/bloom_counter2.hpp
+include/jellyfish-%%VER%%/jellyfish/bloom_filter.hpp
+include/jellyfish-%%VER%%/jellyfish/circular_buffer.hpp
+include/jellyfish-%%VER%%/jellyfish/compare_and_swap.hpp
+include/jellyfish-%%VER%%/jellyfish/cooperative_pool.hpp
+include/jellyfish-%%VER%%/jellyfish/cooperative_pool2.hpp
+include/jellyfish-%%VER%%/jellyfish/cpp_array.hpp
+include/jellyfish-%%VER%%/jellyfish/divisor.hpp
+include/jellyfish-%%VER%%/jellyfish/dumper.hpp
+include/jellyfish-%%VER%%/jellyfish/err.hpp
+include/jellyfish-%%VER%%/jellyfish/file_header.hpp
+include/jellyfish-%%VER%%/jellyfish/generator_manager.hpp
+include/jellyfish-%%VER%%/jellyfish/generic_file_header.hpp
+include/jellyfish-%%VER%%/jellyfish/hash_counter.hpp
+include/jellyfish-%%VER%%/jellyfish/int128.hpp
+include/jellyfish-%%VER%%/jellyfish/jellyfish.hpp
+include/jellyfish-%%VER%%/jellyfish/json.h
+include/jellyfish-%%VER%%/jellyfish/large_hash_array.hpp
+include/jellyfish-%%VER%%/jellyfish/large_hash_iterator.hpp
+include/jellyfish-%%VER%%/jellyfish/locks_pthread.hpp
+include/jellyfish-%%VER%%/jellyfish/mapped_file.hpp
+include/jellyfish-%%VER%%/jellyfish/mer_dna.hpp
+include/jellyfish-%%VER%%/jellyfish/mer_dna_bloom_counter.hpp
+include/jellyfish-%%VER%%/jellyfish/mer_heap.hpp
+include/jellyfish-%%VER%%/jellyfish/mer_iterator.hpp
+include/jellyfish-%%VER%%/jellyfish/mer_overlap_sequence_parser.hpp
+include/jellyfish-%%VER%%/jellyfish/mer_qual_iterator.hpp
+include/jellyfish-%%VER%%/jellyfish/misc.hpp
+include/jellyfish-%%VER%%/jellyfish/offsets_key_value.hpp
+include/jellyfish-%%VER%%/jellyfish/rectangular_binary_matrix.hpp
+include/jellyfish-%%VER%%/jellyfish/simple_circular_buffer.hpp
+include/jellyfish-%%VER%%/jellyfish/sorted_dumper.hpp
+include/jellyfish-%%VER%%/jellyfish/stdio_filebuf.hpp
+include/jellyfish-%%VER%%/jellyfish/storage.hpp
+include/jellyfish-%%VER%%/jellyfish/stream_iterator.hpp
+include/jellyfish-%%VER%%/jellyfish/stream_manager.hpp
+include/jellyfish-%%VER%%/jellyfish/text_dumper.hpp
+include/jellyfish-%%VER%%/jellyfish/thread_exec.hpp
+include/jellyfish-%%VER%%/jellyfish/time.hpp
+include/jellyfish-%%VER%%/jellyfish/token_ring.hpp
+include/jellyfish-%%VER%%/jellyfish/whole_sequence_parser.hpp
lib/libjellyfish-2.0.a
lib/libjellyfish-2.0.so
lib/libjellyfish-2.0.so.2