summaryrefslogtreecommitdiff
path: root/emulators/simh
diff options
context:
space:
mode:
Diffstat (limited to 'emulators/simh')
-rw-r--r--emulators/simh/Makefile44
-rw-r--r--emulators/simh/distinfo1
-rw-r--r--emulators/simh/files/Makefile234
-rw-r--r--emulators/simh/files/patch-sim_defs.h11
-rw-r--r--emulators/simh/pkg-comment1
-rw-r--r--emulators/simh/pkg-descr10
-rw-r--r--emulators/simh/pkg-plist15
7 files changed, 0 insertions, 316 deletions
diff --git a/emulators/simh/Makefile b/emulators/simh/Makefile
deleted file mode 100644
index 78caa52751a8..000000000000
--- a/emulators/simh/Makefile
+++ /dev/null
@@ -1,44 +0,0 @@
-# New ports collection makefile for: sim
-# Date created: 7 November 1997
-# Whom: jraynard
-#
-# $FreeBSD$
-#
-
-PORTNAME= sim
-PORTVERSION= 2.9.9
-CATEGORIES= emulators
-MASTER_SITES= http://simh.trailing-edge.com/sources/ \
- ${MASTER_SITE_LOCAL}
-MASTER_SITE_SUBDIR=jedgar
-DISTNAME= ${PORTNAME}hv29-9
-
-MAINTAINER= jedgar@FreeBSD.org
-
-USE_ZIP= yes
-RESTRICTED= "Non-commercial use only"
-MAKEFILE= ${FILESDIR}/Makefile
-NO_WRKSUBDIR= yes
-
-SHELL=${SH}
-
-BIN_FILES= altair eclipse h316 nova pdp1 pdp10 pdp11 pdp15 pdp4 pdp7 pdp8 \
- pdp9 s3
-
-pre-patch:
- @${MKDIR} ${WRKSRC}/BIN
- @for i in `find . -name "*.[hc]"`; \
- do \
- ${PERL} -pi -e 's@\r@@g' $$i ;\
- done
-
-do-install:
-.for file in ${BIN_FILES}
- ${INSTALL_PROGRAM} ${WRKDIR}/BIN/${file} ${PREFIX}/bin
-.endfor
-.if !defined(NOPORTDOCS)
- @${MKDIR} ${PREFIX}/share/doc/sim
- @${INSTALL_DATA} ${WRKDIR}/simh_doc.txt ${PREFIX}/share/doc/sim
-.endif
-
-.include <bsd.port.mk>
diff --git a/emulators/simh/distinfo b/emulators/simh/distinfo
deleted file mode 100644
index 7699aef13e25..000000000000
--- a/emulators/simh/distinfo
+++ /dev/null
@@ -1 +0,0 @@
-MD5 (simhv29-9.zip) = 02e4d7949407c8f595d36cdeb39723e1
diff --git a/emulators/simh/files/Makefile b/emulators/simh/files/Makefile
deleted file mode 100644
index 9117ff2a7255..000000000000
--- a/emulators/simh/files/Makefile
+++ /dev/null
@@ -1,234 +0,0 @@
-# $FreeBSD$
-
-#
-# Common Libraries
-#
-BIN = BIN/
-SIM = scp.c scp_tty.c sim_sock.c sim_tmxr.c
-
-FLAGS+=${CFLAGS} -I. -lm
-
-#
-# Emulator source files and compile time options
-#
-PDP1D = PDP1/
-PDP1 = ${PDP1D}pdp1_lp.c ${PDP1D}pdp1_cpu.c ${PDP1D}pdp1_stddev.c \
- ${PDP1D}pdp1_sys.c
-PDP1_OPT = -I ${PDP1D}
-
-
-NOVAD = NOVA/
-NOVA = ${NOVAD}nova_sys.c ${NOVAD}nova_cpu.c ${NOVAD}nova_dkp.c \
- ${NOVAD}nova_dsk.c ${NOVAD}nova_lp.c ${NOVAD}nova_mta.c \
- ${NOVAD}nova_plt.c ${NOVAD}nova_pt.c ${NOVAD}nova_clk.c \
- ${NOVAD}nova_tt.c ${NOVAD}nova_tt1.c
-NOVA_OPT = -I ${NOVAD}
-
-
-
-ECLIPSE = ${NOVAD}eclipse_cpu.c ${NOVAD}eclipse_tt.c ${NOVAD}nova_sys.c \
- ${NOVAD}nova_dkp.c ${NOVAD}nova_dsk.c ${NOVAD}nova_lp.c \
- ${NOVAD}nova_mta.c ${NOVAD}nova_plt.c ${NOVAD}nova_pt.c \
- ${NOVAD}nova_clk.c ${NOVAD}nova_tt1.c
-ECLIPSE_OPT = -I ${NOVAD} -DECLIPSE
-
-
-
-PDP18BD = PDP18B/
-PDP18B = ${PDP18BD}pdp18b_dt.c ${PDP18BD}pdp18b_drm.c ${PDP18BD}pdp18b_cpu.c \
- ${PDP18BD}pdp18b_lp.c ${PDP18BD}pdp18b_mt.c ${PDP18BD}pdp18b_rf.c \
- ${PDP18BD}pdp18b_rp.c ${PDP18BD}pdp18b_stddev.c ${PDP18BD}pdp18b_sys.c \
- ${PDP18BD}pdp18b_tt1.c
-PDP4_OPT = -DPDP4 -I ${PDP18BD}
-PDP7_OPT = -DPDP7 -I ${PDP18BD}
-PDP9_OPT = -DPDP9 -I ${PDP18BD}
-PDP15_OPT = -DPDP15 -I ${PDP18BD}
-
-
-
-PDP11D = PDP11/
-PDP11 = ${PDP11D}pdp11_fp.c ${PDP11D}pdp11_cpu.c ${PDP11D}pdp11_dz.c \
- ${PDP11D}pdp11_cis.c ${PDP11D}pdp11_lp.c ${PDP11D}pdp11_rk.c \
- ${PDP11D}pdp11_rl.c ${PDP11D}pdp11_rp.c ${PDP11D}pdp11_rx.c \
- ${PDP11D}pdp11_stddev.c ${PDP11D}pdp11_sys.c ${PDP11D}pdp11_tc.c \
- ${PDP11D}pdp11_tm.c ${PDP11D}pdp11_ts.c ${PDP11D}pdp11_io.c \
- ${PDP11D}pdp11_rq.c
-PDP11_OPT = -I ${PDP11D}
-
-
-
-PDP10D = PDP10/
-PDP10 = ${PDP10D}pdp10_fe.c ${PDP10D}pdp10_dz.c ${PDP10D}pdp10_cpu.c \
- ${PDP10D}pdp10_ksio.c ${PDP10D}pdp10_lp20.c ${PDP10D}pdp10_mdfp.c \
- ${PDP10D}pdp10_pag.c ${PDP10D}pdp10_pt.c ${PDP10D}pdp10_rp.c \
- ${PDP10D}pdp10_sys.c ${PDP10D}pdp10_tim.c ${PDP10D}pdp10_tu.c \
- ${PDP10D}pdp10_xtnd.c
-PDP10_OPT = -DUSE_INT64 -I ${PDP10D}
-
-
-
-PDP8D = PDP8/
-PDP8 = ${PDP8D}pdp8_cpu.c ${PDP8D}pdp8_clk.c ${PDP8D}pdp8_df.c \
- ${PDP8D}pdp8_dt.c ${PDP8D}pdp8_lp.c ${PDP8D}pdp8_mt.c \
- ${PDP8D}pdp8_pt.c ${PDP8D}pdp8_rf.c ${PDP8D}pdp8_rk.c \
- ${PDP8D}pdp8_rx.c ${PDP8D}pdp8_sys.c ${PDP8D}pdp8_tt.c \
- ${PDP8D}pdp8_ttx.c ${PDP8D}pdp8_rl.c
-PDP8_OPT = -I ${PDP8D}
-
-
-
-H316D = H316/
-H316 = ${H316D}h316_stddev.c ${H316D}h316_lp.c ${H316D}h316_cpu.c \
- ${H316D}h316_sys.c
-H316_OPT = -I ${H316D}
-
-
-
-HP2100D = HP2100/
-HP2100 = ${HP2100D}hp2100_stddev.c ${HP2100D}hp2100_dp.c ${HP2100D}hp2100_lp.c \
- ${HP2100D}hp2100_mt.c ${HP2100D}hp2100_cpu.c ${HP2100D}hp2100_sys.c
-HP2100_OPT = -I ${HP2100D}
-
-
-
-ID4D = ID4/
-ID4 = ${ID4D}id4_fp.c ${ID4D}id4_cpu.c ${ID4D}id4_stddev.c ${ID4D}id4_sys.c
-ID4_OPT = -I ${ID4D}
-
-
-
-I1401D = I1401/
-I1401 = ${I1401D}i1401_lp.c ${I1401D}i1401_cpu.c ${I1401D}i1401_iq.c \
- ${I1401D}i1401_cd.c ${I1401D}i1401_mt.c ${I1401D}i1401_sys.c
-I1401_OPT = -I ${I1401D}
-
-
-
-VAXD = VAX/
-VAX = ${VAXD}vax_cpu1.c ${VAXD}vax_cpu.c ${VAXD}vax_fpa.c ${VAXD}vax_io.c \
- ${VAXD}vax_mmu.c ${VAXD}vax_stddev.c ${VAXD}vax_sys.c \
- ${VAXD}vax_sysdev.c \
- ${PDP11D}pdp11_rl.c ${PDP11D}pdp11_rq.c ${PDP11D}pdp11_ts.c \
- ${PDP11D}pdp11_dz.c ${PDP11D}pdp11_lp.c
-VAX_OPT = -I ${VAXD} -I ${PDP11D} -DUSE_INT64
-
-
-
-SDSD = SDS/
-SDS = ${SDSD}sds_stddev.c ${SDSD}sds_fhd.c ${SDSD}sds_io.c ${SDSD}sds_lp.c \
- ${SDSD}sds_mt.c ${SDSD}sds_rad.c ${SDSD}sds_cpu.c ${SDSD}sds_sys.c
-SDS_OPT = -I ${SDSD}
-
-
-
-S3D = S3/
-S3 = ${S3D}s3_cd.c ${S3D}s3_cpu.c ${S3D}s3_disk.c ${S3D}s3_lp.c \
- ${S3D}s3_pkb.c ${S3D}s3_sys.c
-S3_OPT = -I ${S3D}
-
-
-
-ALTAIRD = ALTAIR/
-ALTAIR = ${ALTAIRD}altair_sio.c ${ALTAIRD}altair_cpu.c ${ALTAIRD}altair_dsk.c \
- ${ALTAIRD}altair_sys.c
-ALTAIR_OPT = -I ${ALTAIRD}
-
-
-#
-# Build everything
-#
-all : ${BIN}pdp1 ${BIN}pdp4 ${BIN}pdp7 ${BIN}pdp8 ${BIN}pdp9 ${BIN}pdp15 \
- ${BIN}pdp11 ${BIN}pdp10 ${BIN}nova ${BIN}eclipse ${BIN}h316 \
- ${BIN}s3 ${BIN}altair
-
-
-
-#
-# Make sure subdirectory exists
-#
-#${BIN} : simh_doc.txt
-# ${MKDIR} ${BIN}
-# ${TOUCH} ${BIN}
-
-
-#
-# Individual builds
-#
-${BIN}pdp1 : ${PDP1} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${PDP1} ${SIM} ${PDP1_OPT} -o $@
-
-
-
-${BIN}pdp4 : ${PDP18B} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${PDP18B} ${SIM} ${PDP4_OPT} -o $@
-
-
-
-${BIN}pdp7 : ${PDP18B} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${PDP18B} ${SIM} ${PDP7_OPT} -o $@
-
-
-
-${BIN}pdp8 : ${PDP8} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${PDP8} ${SIM} ${PDP8_OPT} -o $@
-
-
-${BIN}pdp9 : ${PDP18B} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${PDP18B} ${SIM} ${PDP9_OPT} -o $@
-
-
-
-${BIN}pdp15 : ${PDP18B} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${PDP18B} ${SIM} ${PDP15_OPT} -o $@
-
-
-
-${BIN}pdp10 : ${PDP10} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${PDP10} ${SIM} ${PDP10_OPT} -o $@
-
-
-
-${BIN}pdp11 : ${PDP11} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${PDP11} ${SIM} ${PDP11_OPT} -o $@
-
-
-${BIN}nova : ${NOVA} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${NOVA} ${SIM} ${NOVA_OPT} -o $@
-
-
-
-${BIN}eclipse : ${ECLIPSE} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${ECLIPSE} ${SIM} ${ECLIPSE_OPT} -o $@
-
-
-${BIN}h316 : ${H316} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${H316} ${SIM} ${H316_OPT} -o $@
-
-
-
-${BIN}hp2100 : ${HP2100} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${HP2100} ${SIM} ${HP2100_OPT} -o $@
-
-
-
-${BIN}id4 : ${ID4} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${ID4} ${SIM} ${ID4_OPT} -o $@
-
-
-
-${BIN}i1401 : ${I1401} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${I1401} ${SIM} ${I1401_OPT} -o $@
-
-
-
-${BIN}sds : ${SDS} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${SDS} ${SIM} ${SDS_OPT} -o $@
-
-
-
-${BIN}s3 : ${S3} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${S3} ${SIM} ${S3_OPT} -o $@
-
-
-${BIN}altair : ${ALTAIR} ${SIM} ${BIN}
- ${CC} ${FLAGS} ${ALTAIR} ${SIM} ${ALTAIR_OPT} -o $@
diff --git a/emulators/simh/files/patch-sim_defs.h b/emulators/simh/files/patch-sim_defs.h
deleted file mode 100644
index 7e85051e3cff..000000000000
--- a/emulators/simh/files/patch-sim_defs.h
+++ /dev/null
@@ -1,11 +0,0 @@
---- sim_defs.h.orig Thu May 2 18:23:02 2002
-+++ sim_defs.h Wed May 22 08:13:27 2002
-@@ -319,7 +319,7 @@
- #define GRDATA(nm,loc,rdx,wd,pos) #nm, &(loc), (rdx), (wd), (pos), 1
- #define BRDATA(nm,loc,rdx,wd,dep) #nm, (loc), (rdx), (wd), 0, (dep)
- #define URDATA(nm,loc,rdx,wd,off,dep,fl) \
-- #nm, &(loc), (rdx), (wd), (off), (dep), ((fl) | REG_UNIT)
-+ "nm", &(loc), (rdx), (wd), (off), (dep), ((fl) | REG_UNIT)
- #else
- #define ORDATA(nm,loc,wd) "nm", &(loc), 8, (wd), 0, 1
- #define DRDATA(nm,loc,wd) "nm", &(loc), 10, (wd), 0, 1
diff --git a/emulators/simh/pkg-comment b/emulators/simh/pkg-comment
deleted file mode 100644
index bae7bcefa42d..000000000000
--- a/emulators/simh/pkg-comment
+++ /dev/null
@@ -1 +0,0 @@
-PDP-8, PDP-11, PDP-1, other 18b PDP, Nova, and IBM 1401 simulators
diff --git a/emulators/simh/pkg-descr b/emulators/simh/pkg-descr
deleted file mode 100644
index fc904651ca4a..000000000000
--- a/emulators/simh/pkg-descr
+++ /dev/null
@@ -1,10 +0,0 @@
-PDP-8, PDP-11, PDP-1, other 18b PDP, Nova, and IBM 1401 simulators.
-
-The simulators have been tested on VAX VMS, Alpha VMS, Alpha UNIX, Intel
-FreeBSD and Intel LINUX; a port to Windows 95 and Windows NT is in progress
-but lacks terminal emulation code. Porting to other environments will
-require changes to the operating system dependent code in scp_tty.c.
-
-These simulators are capable of running the Unix V5, V6 and V7 binaries
-licenced for non-commercial use by SCO. See ${WRKDIR}/simh_doc.txt for
-further details.
diff --git a/emulators/simh/pkg-plist b/emulators/simh/pkg-plist
deleted file mode 100644
index 0a20cd715aff..000000000000
--- a/emulators/simh/pkg-plist
+++ /dev/null
@@ -1,15 +0,0 @@
-bin/altair
-bin/eclipse
-bin/h316
-bin/nova
-bin/pdp1
-bin/pdp10
-bin/pdp11
-bin/pdp15
-bin/pdp4
-bin/pdp7
-bin/pdp8
-bin/pdp9
-bin/s3
-share/doc/sim/simh_doc.txt
-@dirrm share/doc/sim