summaryrefslogtreecommitdiff
path: root/emulators/simh
diff options
context:
space:
mode:
authorcvs2svn <cvs2svn@FreeBSD.org>2003-12-12 19:19:02 +0000
committercvs2svn <cvs2svn@FreeBSD.org>2003-12-12 19:19:02 +0000
commita0848d727648aa70f6a89c013203f2b82a4e972e (patch)
tree37ec8e378ec2d731e9ba9c15cd58724325a5637c /emulators/simh
parentFix build by syncing with gaim-0.73. (diff)
This commit was manufactured by cvs2svn to create tag 'RELEASE_5_2_0'.release/5.2.0
Notes
Notes: svn path=/head/; revision=95679 svn path=/tags/RELEASE_5_2_0/; revision=95680; tag=release/5.2.0
Diffstat (limited to 'emulators/simh')
-rw-r--r--emulators/simh/Makefile58
-rw-r--r--emulators/simh/distinfo1
-rw-r--r--emulators/simh/files/patch-makefile188
-rw-r--r--emulators/simh/files/patch-sim_defs.h11
-rw-r--r--emulators/simh/pkg-descr10
-rw-r--r--emulators/simh/pkg-message5
-rw-r--r--emulators/simh/pkg-plist27
7 files changed, 0 insertions, 300 deletions
diff --git a/emulators/simh/Makefile b/emulators/simh/Makefile
deleted file mode 100644
index c56de8cfc3f2..000000000000
--- a/emulators/simh/Makefile
+++ /dev/null
@@ -1,58 +0,0 @@
-# New ports collection makefile for: sim
-# Date created: 7 November 1997
-# Whom: jraynard
-#
-# $FreeBSD$
-#
-
-PORTNAME= sim
-PORTVERSION= 2.10.1
-CATEGORIES= emulators
-MASTER_SITES= http://simh.trailing-edge.com/sources/ \
- ${MASTER_SITE_LOCAL}
-MASTER_SITE_SUBDIR=jedgar
-DISTNAME= ${PORTNAME}hv210-1
-
-MAINTAINER= jedgar@FreeBSD.org
-COMMENT= PDP-8, PDP-11, PDP-1, other 18b PDP, Nova, and IBM 1401 simulators
-
-USE_ZIP= yes
-USE_GMAKE= yes
-RESTRICTED= "Non-commercial use only"
-MAKEFILE= makefile
-NO_WRKSUBDIR= yes
-
-SHELL=${SH}
-
-BIN_FILES= altair h316 ibm1130 pdp11 pdp8 \
- altairz80 hp2100 nova pdp15 pdp9 \
- eclipse i1401 pdp1 pdp4 s3 \
- gri i1620 pdp10 pdp7 vax \
-
-pre-patch:
- @${MKDIR} ${WRKSRC}/BIN
- @for i in ${WRKSRC}/makefile `${FIND} ${WRKSRC} -name "*.[hc]"`; do \
- ${CAT} $$i | ${TR} -d '\r' > $$i.new; \
- ${MV} $$i.new $$i; \
- done
-
-do-install:
-.for file in ${BIN_FILES}
- ${INSTALL_PROGRAM} ${WRKDIR}/BIN/${file} ${PREFIX}/bin
-.endfor
-
- @${MKDIR} ${PREFIX}/share/sim
- ${INSTALL_DATA} ${WRKDIR}/VAX/ka655.bin ${PREFIX}/share/sim
-
-.if !defined(NOPORTDOCS)
- @${MKDIR} ${PREFIX}/share/doc/sim
- @for i in 0readme_210.txt 0readme_ethernet.txt \
- simh_doc.txt simh_swre.txt; do \
- ${INSTALL_DATA} ${WRKDIR}/$$i ${PREFIX}/share/doc/sim; \
- done
-.endif
-
-post-install:
- @${CAT} pkg-message
-
-.include <bsd.port.mk>
diff --git a/emulators/simh/distinfo b/emulators/simh/distinfo
deleted file mode 100644
index b3a0773037c2..000000000000
--- a/emulators/simh/distinfo
+++ /dev/null
@@ -1 +0,0 @@
-MD5 (simhv210-1.zip) = 10abbd6066dd0790cf58ec8bf9d049af
diff --git a/emulators/simh/files/patch-makefile b/emulators/simh/files/patch-makefile
deleted file mode 100644
index 36424efa9f34..000000000000
--- a/emulators/simh/files/patch-makefile
+++ /dev/null
@@ -1,188 +0,0 @@
---- makefile.orig Tue Nov 12 20:30:36 2002
-+++ makefile Thu Nov 28 21:12:59 2002
-@@ -3,7 +3,7 @@
- # Note: -O2 is sometimes broken in GCC when setjump/longjump is being
- # used. Try -O2 only with released simulators.
- #
--CC = gcc -O2 -lm -I .
-+CFLAGS+= -lm -I .
- #CC = gcc -O2 -g -lm -I .
-
-
-@@ -138,25 +138,6 @@
- IBM1130_OPT = -I ${IBM1130D}
-
-
--
--ID16D = Interdata/
--ID16 = ${ID16D}id16_cpu.c ${ID16D}id16_sys.c ${ID16D}id_dp.c \
-- ${ID16D}id_fd.c ${ID16D}id_fp.c ${ID16D}id_idc.c ${ID16D}id_io.c \
-- ${ID16D}id_lp.c ${ID16D}id_mt.c ${ID16D}id_pas.c ${ID16D}id_pt.c \
-- ${ID16D}id_tt.c ${ID16D}id_uvc.c
--ID16_OPT = -I ${ID16D}
--
--
--
--ID32D = Interdata/
--ID32 = ${ID32D}id32_cpu.c ${ID32D}id32_sys.c ${ID32D}id_dp.c \
-- ${ID32D}id_fd.c ${ID32D}id_fp.c ${ID32D}id_idc.c ${ID32D}id_io.c \
-- ${ID32D}id_lp.c ${ID32D}id_mt.c ${ID32D}id_pas.c ${ID32D}id_pt.c \
-- ${ID32D}id_tt.c ${ID32D}id_uvc.c
--ID32_OPT = -I ${ID32D}
--
--
--
- S3D = S3/
- S3 = ${S3D}s3_cd.c ${S3D}s3_cpu.c ${S3D}s3_disk.c ${S3D}s3_lp.c \
- ${S3D}s3_pkb.c ${S3D}s3_sys.c
-@@ -185,13 +166,6 @@
-
-
-
--SDSD = SDS/
--SDS = ${SDSD}sds_cpu.c ${SDSD}sds_drm.c ${SDSD}sds_dsk.c ${SDSD}sds_io.c \
-- ${SDSD}sds_lp.c ${SDSD}sds_mt.c ${SDSD}sds_mux.c ${SDSD}sds_rad.c \
-- ${SDSD}sds_stddev.c ${SDSD}sds_sys.c
--SDS_OPT = -I ${SDSD}
--
--
-
- #
- # Build everything
-@@ -199,8 +173,7 @@
- all : ${BIN}pdp1 ${BIN}pdp4 ${BIN}pdp7 ${BIN}pdp8 ${BIN}pdp9 ${BIN}pdp15 \
- ${BIN}pdp11 ${BIN}pdp10 ${BIN}vax ${BIN}nova ${BIN}eclipse ${BIN}h316 \
- ${BIN}hp2100 ${BIN}i1401 ${BIN}i1620 ${BIN}s3 ${BIN}altair \
-- ${BIN}altairz80 ${BIN}gri ${BIN}i1620 ${BIN}ibm1130 \
-- ${BIN}id16 ${BIN}id32 ${BIN}sds
-+ ${BIN}altairz80 ${BIN}gri ${BIN}i1620 ${BIN}ibm1130
-
-
-
-@@ -208,106 +181,98 @@
- # Individual builds
- #
- ${BIN}pdp1 : ${PDP1} ${SIM}
-- ${CC} ${PDP1} ${SIM} ${PDP1_OPT} -o $@
-+ ${CC} ${CFLAGS} ${PDP1} ${SIM} ${PDP1_OPT} -o $@
-
-
-
- ${BIN}pdp4 : ${PDP18B} ${SIM}
-- ${CC} ${PDP18B} ${SIM} ${PDP4_OPT} -o $@
-+ ${CC} ${CFLAGS} ${PDP18B} ${SIM} ${PDP4_OPT} -o $@
-
-
-
- ${BIN}pdp7 : ${PDP18B} ${SIM}
-- ${CC} ${PDP18B} ${SIM} ${PDP7_OPT} -o $@
-+ ${CC} ${CFLAGS} ${PDP18B} ${SIM} ${PDP7_OPT} -o $@
-
-
-
- ${BIN}pdp8 : ${PDP8} ${SIM}
-- ${CC} ${PDP8} ${SIM} ${PDP8_OPT} -o $@
-+ ${CC} ${CFLAGS} ${PDP8} ${SIM} ${PDP8_OPT} -o $@
-
-
-
- ${BIN}pdp9 : ${PDP18B} ${SIM}
-- ${CC} ${PDP18B} ${SIM} ${PDP9_OPT} -o $@
-+ ${CC} ${CFLAGS} ${PDP18B} ${SIM} ${PDP9_OPT} -o $@
-
-
-
- ${BIN}pdp15 : ${PDP18B} ${SIM}
-- ${CC} ${PDP18B} ${SIM} ${PDP15_OPT} -o $@
-+ ${CC} ${CFLAGS} ${PDP18B} ${SIM} ${PDP15_OPT} -o $@
-
-
-
- ${BIN}pdp10 : ${PDP10} ${SIM}
-- ${CC} ${PDP10} ${SIM} ${PDP10_OPT} -o $@
-+ ${CC} ${CFLAGS} ${PDP10} ${SIM} ${PDP10_OPT} -o $@
-
-
-
- ${BIN}pdp11 : ${PDP11} ${SIM}
-- ${CC} ${PDP11} ${SIM} ${PDP11_OPT} -o $@
-+ ${CC} ${CFLAGS} ${PDP11} ${SIM} ${PDP11_OPT} -o $@
-
-
-
- ${BIN}vax : ${VAX} ${SIM}
-- ${CC} ${VAX} ${SIM} ${VAX_OPT} -o $@
-+ ${CC} ${CFLAGS} ${VAX} ${SIM} ${VAX_OPT} -o $@
-
-
-
- ${BIN}nova : ${NOVA} ${SIM}
-- ${CC} ${NOVA} ${SIM} ${NOVA_OPT} -o $@
-+ ${CC} ${CFLAGS} ${NOVA} ${SIM} ${NOVA_OPT} -o $@
-
-
-
- ${BIN}eclipse : ${ECLIPSE} ${SIM}
-- ${CC} ${ECLIPSE} ${SIM} ${ECLIPSE_OPT} -o $@
-+ ${CC} ${CFLAGS} ${ECLIPSE} ${SIM} ${ECLIPSE_OPT} -o $@
-
-
-
- ${BIN}h316 : ${H316} ${SIM}
-- ${CC} ${H316} ${SIM} ${H316_OPT} -o $@
-+ ${CC} ${CFLAGS} ${H316} ${SIM} ${H316_OPT} -o $@
-
-
-
- ${BIN}hp2100 : ${HP2100} ${SIM}
-- ${CC} ${HP2100} ${SIM} ${HP2100_OPT} -o $@
-+ ${CC} ${CFLAGS} ${HP2100} ${SIM} ${HP2100_OPT} -o $@
-
-
-
- ${BIN}i1401 : ${I1401} ${SIM}
-- ${CC} ${I1401} ${SIM} ${I1401_OPT} -o $@
-+ ${CC} ${CFLAGS} ${I1401} ${SIM} ${I1401_OPT} -o $@
-
-
-
- ${BIN}i1620 : ${I1620} ${SIM}
-- ${CC} ${I1620} ${SIM} ${I1620_OPT} -o $@
-+ ${CC} ${CFLAGS} ${I1620} ${SIM} ${I1620_OPT} -o $@
-
-
- ${BIN}ibm1130 : ${IBM1130}
-- ${CC} ${IBM1130} ${SIM} ${IBM1130_OPT} -o $@
-+ ${CC} ${CFLAGS} ${IBM1130} ${SIM} ${IBM1130_OPT} -o $@
-
-
- ${BIN}s3 : ${S3} ${SIM}
-- ${CC} ${S3} ${SIM} ${S3_OPT} -o $@
-+ ${CC} ${CFLAGS} ${S3} ${SIM} ${S3_OPT} -o $@
-
-
- ${BIN}altair : ${ALTAIR} ${SIM}
-- ${CC} ${ALTAIR} ${SIM} ${ALTAIR_OPT} -o $@
-+ ${CC} ${CFLAGS} ${ALTAIR} ${SIM} ${ALTAIR_OPT} -o $@
-
-
- ${BIN}altairz80 : ${ALTAIRZ80} ${SIM} ${ALTAIRZ80D}altairZ80_defs.h
-- ${CC} ${ALTAIRZ80_OPT} ${ALTAIRZ80} ${SIM} -o $@
-+ ${CC} ${CFLAGS} ${ALTAIRZ80_OPT} ${ALTAIRZ80} ${SIM} -o $@
- ${ALTAIRZ80D}altairZ80_defs.h : ${ALTAIRZ80D}altairz80_defs.h
- cp ${ALTAIRZ80D}altairz80_defs.h ${ALTAIRZ80D}altairZ80_defs.h
-
- ${BIN}gri : ${GRI} ${SIM}
-- ${CC} ${GRI} ${SIM} ${GRI_OPT} -o $@
--
--${BIN}id16 : ${ID16} ${SIM}
-- ${CC} ${ID16} ${SIM} ${ID16_OPT} -o $@
--
--${BIN}id32 : ${ID32} ${SIM}
-- ${CC} ${ID32} ${SIM} ${ID32_OPT} -o $@
-+ ${CC} ${CFLAGS} ${GRI} ${SIM} ${GRI_OPT} -o $@
-
--${BIN}sds : ${SDS} ${SIM}
-- ${CC} ${SDS} ${SIM} ${SDS_OPT} -o $@
-
-
diff --git a/emulators/simh/files/patch-sim_defs.h b/emulators/simh/files/patch-sim_defs.h
deleted file mode 100644
index 7e85051e3cff..000000000000
--- a/emulators/simh/files/patch-sim_defs.h
+++ /dev/null
@@ -1,11 +0,0 @@
---- sim_defs.h.orig Thu May 2 18:23:02 2002
-+++ sim_defs.h Wed May 22 08:13:27 2002
-@@ -319,7 +319,7 @@
- #define GRDATA(nm,loc,rdx,wd,pos) #nm, &(loc), (rdx), (wd), (pos), 1
- #define BRDATA(nm,loc,rdx,wd,dep) #nm, (loc), (rdx), (wd), 0, (dep)
- #define URDATA(nm,loc,rdx,wd,off,dep,fl) \
-- #nm, &(loc), (rdx), (wd), (off), (dep), ((fl) | REG_UNIT)
-+ "nm", &(loc), (rdx), (wd), (off), (dep), ((fl) | REG_UNIT)
- #else
- #define ORDATA(nm,loc,wd) "nm", &(loc), 8, (wd), 0, 1
- #define DRDATA(nm,loc,wd) "nm", &(loc), 10, (wd), 0, 1
diff --git a/emulators/simh/pkg-descr b/emulators/simh/pkg-descr
deleted file mode 100644
index fc904651ca4a..000000000000
--- a/emulators/simh/pkg-descr
+++ /dev/null
@@ -1,10 +0,0 @@
-PDP-8, PDP-11, PDP-1, other 18b PDP, Nova, and IBM 1401 simulators.
-
-The simulators have been tested on VAX VMS, Alpha VMS, Alpha UNIX, Intel
-FreeBSD and Intel LINUX; a port to Windows 95 and Windows NT is in progress
-but lacks terminal emulation code. Porting to other environments will
-require changes to the operating system dependent code in scp_tty.c.
-
-These simulators are capable of running the Unix V5, V6 and V7 binaries
-licenced for non-commercial use by SCO. See ${WRKDIR}/simh_doc.txt for
-further details.
diff --git a/emulators/simh/pkg-message b/emulators/simh/pkg-message
deleted file mode 100644
index a7a5bbf096a7..000000000000
--- a/emulators/simh/pkg-message
+++ /dev/null
@@ -1,5 +0,0 @@
-*********************************************************************
-
-The file needed by the VAX emulator is located in ${PREFIX}/share/sim
-
-*********************************************************************
diff --git a/emulators/simh/pkg-plist b/emulators/simh/pkg-plist
deleted file mode 100644
index 3d16b8f69af0..000000000000
--- a/emulators/simh/pkg-plist
+++ /dev/null
@@ -1,27 +0,0 @@
-bin/pdp1
-bin/pdp4
-bin/pdp7
-bin/pdp8
-bin/pdp9
-bin/pdp15
-bin/pdp11
-bin/pdp10
-bin/vax
-bin/nova
-bin/eclipse
-bin/h316
-bin/hp2100
-bin/i1401
-bin/i1620
-bin/s3
-bin/altair
-bin/altairz80
-bin/gri
-bin/ibm1130
-share/doc/sim/0readme_210.txt
-share/doc/sim/0readme_ethernet.txt
-share/doc/sim/simh_doc.txt
-share/doc/sim/simh_swre.txt
-share/sim/ka655.bin
-@dirrm share/doc/sim
-@dirrm share/sim