summaryrefslogtreecommitdiff
path: root/cad/alliance
diff options
context:
space:
mode:
authorHiroki Sato <hrs@FreeBSD.org>2004-05-13 18:51:03 +0000
committerHiroki Sato <hrs@FreeBSD.org>2004-05-13 18:51:03 +0000
commit8d9f54f0da3dffb86c4669c1cb2935c2f80e146e (patch)
tree400bf59600076864cc21ff14652e11652dc463e1 /cad/alliance
parentUnbroken it, and assign MAINTAINER to submitter. (diff)
Add cad/alliance, which is a complete set of free CAD tools and
portable libraries for VLSI design. It includes a VHDL compiler and simulator, logic synthesis tools, automatic place and route tools, and portable CMOS libraries. Approved by: linimon (mentor)
Notes
Notes: svn path=/head/; revision=109077
Diffstat (limited to 'cad/alliance')
-rw-r--r--cad/alliance/Makefile205
-rw-r--r--cad/alliance/distinfo2
-rw-r--r--cad/alliance/files/patch-exp::src::ht.c7
-rw-r--r--cad/alliance/files/patch-sea::src::sea.sh19
-rw-r--r--cad/alliance/files/patch-sea::src::seplace.sh17
-rw-r--r--cad/alliance/files/patch-sea::src::seroute.sh17
-rw-r--r--cad/alliance/files/pkg-message.in4
-rw-r--r--cad/alliance/files/srcdirs.list84
-rw-r--r--cad/alliance/pkg-descr8
-rw-r--r--cad/alliance/pkg-plist1158
10 files changed, 1521 insertions, 0 deletions
diff --git a/cad/alliance/Makefile b/cad/alliance/Makefile
new file mode 100644
index 000000000000..f3722fd7ce7c
--- /dev/null
+++ b/cad/alliance/Makefile
@@ -0,0 +1,205 @@
+# New ports collection makefile for: alliance
+# Date created: 6 May 2004
+# Whom: hrs
+#
+# $FreeBSD$
+
+PORTNAME= alliance
+PORTVERSION= 5.0.20031209
+CATEGORIES= cad
+MASTER_SITES= http://www-asim.lip6.fr/pub/alliance/distribution/5.0/
+DISTNAME= ${PORTNAME}-5.0-20031209
+
+MAINTAINER= hrs@FreeBSD.org
+COMMENT= A complete set of CAD tools and libraries for VLSI design
+
+WRKSRC= ${WRKDIR}/${PORTNAME}-5.0
+
+USE_GMAKE= yes
+USE_MOTIF= yes
+USE_REINPLACE= yes
+USE_X= yes
+
+HAS_CONFIGURE= yes
+CONFIGURE_ENV+= ALLIANCE_TOP=${ALLIANCE_TOP}
+CONFIGURE_ARGS+=--mandir=${PREFIX}/man \
+ --x-libraries=${X11BASE}/lib \
+ --x-includes=${X11BASE}/include \
+ --prefix=${ALLIANCE_TOP} ${CONFIGURE_TARGET}
+
+PKGMESSAGE= ${WRKDIR}/pkg-message
+PKGMESSAGE_IN= ${FILESDIR}/pkg-message.in
+
+.include <bsd.port.pre.mk>
+
+ALLIANCE_TOP= ${PREFIX}/alliance
+SRCDIRLIST!= ${CAT} ${FILESDIR}/srcdirs.list
+CFLAGS+= ${SRCDIRLIST:S,^,-I${WRKSRC}/,}
+LDFLAGS+= ${SRCDIRLIST:S,^,-L${WRKSRC}/,}
+CONFIGURE_ENV+= CFLAGS="${CFLAGS}" CPPFLAGS="${CFLAGS}" LDFLAGS="${LDFLAGS}"
+MAKE_ENV+= CFLAGS="${CFLAGS}" CPPFLAGS="${CFLAGS}" LDFLAGS="${LDFLAGS}"
+
+MAN1= MBK_CATAL_NAME.1 MBK_CATA_LIB.1 MBK_FILTER_SFX.1 \
+ MBK_IN_FILTER.1 MBK_IN_LO.1 MBK_IN_PH.1 MBK_OUT_FILTER.1 \
+ MBK_OUT_LO.1 MBK_OUT_PH.1 MBK_SEPAR.1 MBK_TRACE_GETENV.1 \
+ MBK_VDD.1 MBK_VSS.1 MBK_CK.1 MBK_WORK_LIB.1 \
+ aut.1 abl.1 bdd.1 log.1 fsm.1 druc.1 alcbanner.1 \
+ asimut.1 attila.1 boog.1 boom.1 alc_bug_report.1 \
+ alc_origin.1 dreal.1 exp.1 flatbeh.1 flatlo.1 flatph.1 \
+ fmi.1 fsp.1 genlib.1 genpat.1 graal.1 k2f.1 l2p.1 loon.1 \
+ lvx.1 cougar.1 m2e.1 moka.1 nero.1 ocp.1 proof.1 ring.1 \
+ s2r.1 scapin.1 syf.1 vasy.1 x2y.1 xpat.1 xsch.1
+
+MAN3= addcapa.3 addchain.3 addhtitem.3 addlocon.3 addlofig.3 \
+ addloins.3 addlomodel.3 addlosig.3 addlotrs.3 addlocap.3 \
+ addlores.3 addloself.3 addnum.3 addphcon.3 addphfig.3 \
+ addphins.3 addphref.3 addphseg.3 addphvia.3 addptype.3 \
+ getlocap.3 getlores.3 getloself.3 setlocap.3 setlores.3 \
+ setloself.3 alliancebanner.3 append.3 bigvia.3 chain.3 \
+ checkloconorder.3 concatname.3 defab.3 delchain.3 delht.3 \
+ delhtitem.3 dellocon.3 dellofig.3 delloins.3 dellosig.3 \
+ dellotrs.3 dellocap.3 dellores.3 delloself.3 delnum.3 \
+ delphcon.3 delphfig.3 delphins.3 delphref.3 delphseg.3 \
+ delphvia.3 delptype.3 downstr.3 filepath.3 flattenlofig.3 \
+ flattenphfig.3 freechain.3 freelomodel.3 freenum.3 freeptype.3 \
+ gethtitem.3 getlocon.3 getlofig.3 getloins.3 getlomodel.3 \
+ getlosig.3 getphcon.3 getphfig.3 getphins.3 getphref.3 getptype.3 \
+ getsigname.3 mbksetautoackchld.3 givelosig.3 guessextdir.3 \
+ incatalog.3 incatalogdelete.3 incatalogfeed.3 incataloggds.3 \
+ instanceface.3 instr.3 isvdd.3 isvss.3 isck.3 loadlofig.3 \
+ loadphfig.3 locon.3 lofig.3 lofigchain.3 log.3 loins.3 losig.3 \
+ lotrs.3 locap.3 lores.3 loself.3 mbk.3 mbkalloc.3 mbkenv.3 \
+ mbkps.3 mbkfopen.3 mbkfree.3 mbkgetenv.3 mbkrealloc.3 mbkunlink.3 \
+ mbkwaitpid.3 mlodebug.3 mphdebug.3 namealloc.3 namefind.3 \
+ nameindex.3 naturalstrcmp.3 phcon.3 phfig.3 phins.3 phref.3 \
+ phseg.3 phvia.3 ptype.3 restorealldir.3 restoredirvbe.3 reverse.3 \
+ rflattenlofig.3 rflattenphfig.3 autresizeblock.3 savelofig.3 \
+ savephfig.3 sethtitem.3 sortlocon.3 sortlosig.3 unflattenlofig.3 \
+ upstr.3 viewht.3 viewlo.3 viewlofig.3 viewlofigcon.3 viewloins.3 \
+ viewloinscon.3 viewlosig.3 viewlotrs.3 viewlocap.3 viewlores.3 \
+ viewloself.3 viewph.3 viewphcon.3 viewphfig.3 viewphins.3 \
+ viewphref.3 viewphseg.3 beh.3 viewphvia.3 xyflat.3 autallocblock.3 \
+ autfreeheap.3 authelem.3 autallocheap.3 auth2elem.3 authtable.3 \
+ autfreeblock.3 auth2table.3 addrdsfig.3 addrdsfigrec.3 \
+ addrdsins.3 addrdsinsrec.3 addrdsrecwindow.3 allocrdsfig.3 \
+ allocrdsins.3 allocrdsrec.3 allocrdsrecwin.3 allocrdswin.3 \
+ conmbkrds.3 modelmbkrds.3 allocrdswindow.3 allocrdswinrec.3 \
+ buildrdswindow.3 delrdsfig.3 delrdsfigrec.3 delrdsins.3 \
+ delrdsinsrec.3 delrdsrecwindow.3 destroyrdswindow.3 \
+ figmbkrds.3 freerdsfig.3 freerdsins.3 freerdsrec.3 \
+ getrdsmodellist.3 insconmbkrds.3 insmbkrds.3 insrefmbkrds.3 \
+ inssegmbkrds.3 insviambkrds.3 loadrdsfig.3 loadrdsparam.3 \
+ rdsalloc.3 rdsenv.3 rdsfree.3 refmbkrds.3 roundrdsrec.3 \
+ saverdsfig.3 searchrdsfig.3 segmbkrds.3 viambkrds.3 \
+ viewrdsfig.3 viewrdsins.3 viewrdsparam.3 viewrdsrec.3 \
+ viewrdswindow.3 viewrfmcon.3 viewrfmfig.3 viewrfmins.3 \
+ viewrfmrec.3 viewrfmref.3 viewrfmseg.3 viewrfmvia.3 addablhexpr.3 \
+ getablexprlength.3 mapablexpr.3 addablqexpr.3 getablexprmax.3 \
+ mapabloperexpr.3 createablatom.3 getablexprmin.3 polarablexpr.3 \
+ createablbinexpr.3 getablexprnum.3 polardupablexpr.3 \
+ createablnotexpr.3 getablexprnumatom.3 simpablexpr.3 \
+ createabloper.3 getablexprnumbinoper.3 simpdupablexpr.3 \
+ createablunaryexpr.3 getablexprnumocc.3 substablexpr.3 \
+ createablxorbinexpr.3 getablexprsupport.3 substdupablexpr.3 \
+ delablexpr.3 isablbinaryoper.3 unflatablexpr.3 delablexprnum.3 \
+ isablequalexpr.3 vhdlablname.3 devablxorexpr.3 isablnameinexpr.3 \
+ vhdlablvector.3 devdupablxorexpr.3 isabloperinexpr.3 viewablexpr.3 \
+ dupablexpr.3 isablsimilarexpr.3 beh_delbeout.3 ARRAY.3 \
+ viewablexprfile.3 flatablexpr.3 isablunaryoper.3 viewablexprstr.3 \
+ freeablexpr.3 mapablanyexpr.3 getablexprdepth.3 mapableveryexpr.3 \
+ addbddassoc.3 addbddcircuitabl.3 addbddcircuitin.3 \
+ addbddcircuitout.3 addbddnode.3 addbddnodeassoc.3 addbddnodelist.3 \
+ addbddvar.3 addbddvarafter.3 addbddvarbefore.3 addbddvarfirst.3 \
+ addbddvarlast.3 applybddnode.3 applybddnodeite.3 applybddnodelist.3 \
+ applybddnodenot.3 applybddnodeterm.3 clearbddsystemref.3 \
+ clearbddsystemrefext.3 clearbddsystemrefint.3 cofactorbddnode.3 \
+ composebddnode.3 convertbddcircuitabl.3 convertbddcircuitsumabl.3 \
+ convertbddindexabl.3 convertbddmuxabl.3 convertbddnodeabl.3 \
+ convertbddnodesumabl.3 addht.3 createbddcircuit.3 createbddsystem.3 \
+ decbddrefext.3 decbddrefint.3 delbddassoc.3 delbddcircuitout.3 \
+ delbddnode.3 delbddnodeassoc.3 delbddnodelist.3 destroybddassoc.3 \
+ destroybddcircuit.3 destroybddsystem.3 existbddnodeassocoff.3 \
+ existbddnodeassocon.3 garbagebddsystem.3 getbddnodenum.3 \
+ getbddnodesize.3 getbddnodesupport.3 resetBdd.3 \
+ getbddvarbyindex.3 getbddvarindex.3 getbddvarnode.3 \
+ getbddvarnodebyindex.3 implybddnode.3 incbddrefext.3 \
+ incbddrefint.3 intersectbddnode.3 isbddvarinsupport.3 \
+ markbddnode.3 relprodbddnodeassoc.3 reorderbddsystemdynamic.3 \
+ reorderbddsystemsimple.3 reorderbddsystemtop.3 \
+ reorderbddsystemwindow.3 resetbddcircuit.3 resetbddsystem.3 \
+ markBdd.3 restrictbddnode.3 satisfybddnode.3 searchbddcircuitin.3 \
+ searchbddcircuitout.3 setbddrefext.3 simpbddnodedcoff.3 \
+ simpbddnodedcon.3 substbddnodeassoc.3 swapbddvar.3 \
+ testbddcircuit.3 unmarkbddnode.3 unsetbddrefext.3 \
+ viewbddcircuit.3 viewbddnode.3 viewbddsystem.3 \
+ viewbddsysteminfo.3 composeBdd.3 initializeBdd.3 \
+ ablToBddCct.3 constraintBdd.3 markAllBdd.3 simplifDcOneBdd.3 \
+ addListBdd.3 createNodeTermBdd.3 simplifDcZeroBdd.3 \
+ applyBdd.3 destroyBdd.3 notBdd.3 supportChain_listBdd.3 \
+ applyBinBdd.3 displayBdd.3 numberNodeAllBdd.3 upVarBdd.3 \
+ bddToAblCct.3 gcNodeBdd.3 numberNodeBdd.3 libpat.3 \
+ beh_addbiabl.3 beh_delbereg.3 beh_frebemsg.3 beh_rmvbeaux.3 \
+ beh_addbeaux.3 beh_addbinod.3 beh_delberin.3 beh_frebeout.3 \
+ beh_rmvbebus.3 beh_addbebus.3 beh_debug.3 beh_delbiabl.3 \
+ beh_frebepor.3 beh_rmvbebux.3 beh_addbebux.3 beh_delbeaux.3 \
+ beh_delbinod.3 beh_frebereg.3 beh_rmvbefig.3 beh_addbefig.3 \
+ beh_delbebus.3 beh_depend.3 beh_freberin.3 beh_rmvbegen.3 \
+ beh_addbegen.3 beh_delbebux.3 beh_error.3 beh_frebiabl.3 \
+ beh_rmvbemsg.3 beh_addbemsg.3 beh_delbefig.3 beh_frebeaux.3 \
+ beh_frebinod.3 beh_rmvbeout.3 beh_addbeout.3 beh_delbegen.3 \
+ beh_frebebus.3 beh_getgenva.3 beh_rmvbepor.3 beh_addbepor.3 \
+ beh_delbemsg.3 beh_frebebux.3 beh_makbdd.3 beh_rmvbereg.3 \
+ beh_addbereg.3 beh_frebefig.3 beh_makgex.3 beh_rmvberin.3 \
+ beh_addberin.3 beh_delbepor.3 beh_frebegen.3 beh_message.3 \
+ GENLIB_BUS.3 GENLIB_COPY_UP_ALL_CON.3 GENLIB_COPY_UP_ALL_REF.3 \
+ GENLIB_COPY_UP_CON.3 GENLIB_COPY_UP_CON_FACE.3 GENLIB_COPY_UP_REF.3 \
+ GENLIB_COPY_UP_SEG.3 GENLIB_DEF_AB.3 GENLIB_DEF_LOFIG.3 \
+ GENLIB_DEF_PHFIG.3 GENLIB_DEF_PHINS.3 GENLIB_DEF_PHSC.3 \
+ GENLIB_ELM.3 GENLIB_FLATTEN_ALL_LOINS.3 GENLIB_FLATTEN_ALL_PHINS.3 \
+ GENLIB_FLATTEN_LOFIG.3 GENLIB_FLATTEN_PHFIG.3 GENLIB_GET_CON_X.3 \
+ GENLIB_GET_CON_Y.3 GENLIB_GET_INS_X.3 GENLIB_GET_INS_Y.3 \
+ GENLIB_GET_REF_X.3 GENLIB_GET_REF_Y.3 GENLIB_HEIGHT.3 \
+ GENLIB_LOAD_LOFIG.3 GENLIB_LOAD_PHFIG.3 GENLIB_LOCON.3 \
+ GENLIB_LOINS.3 GENLIB_LOINSE.3 GENLIB_LOSIG.3 GENLIB_LOSIGMERGE.3 \
+ GENLIB_LOTRS.3 GENLIB_LOCAP.3 GENLIB_LORES.3 GENLIB_LOSELF.3 \
+ GENLIB_SET_LOCAP.3 GENLIB_SET_LORES.3 GENLIB_SET_LOSELF.3 \
+ GENLIB_OUTLINE.3 GENLIB_PHCON.3 GENLIB_PHREF.3 GENLIB_PHSEG.3 \
+ GENLIB_PHVIA.3 GENLIB_PLACE.3 GENLIB_PLACE_BOTTOM.3 \
+ GENLIB_PLACE_CON_REF.3 GENLIB_PLACE_LEFT.3 GENLIB_PLACE_ON.3 \
+ GENLIB_PLACE_RIGHT.3 GENLIB_PLACE_SEG_REF.3 GENLIB_PLACE_TOP.3 \
+ GENLIB_PLACE_VIA_REF.3 GENLIB_REVERSE_PHCON.3 GENLIB_SAVE_LOFIG.3 \
+ GENLIB_SAVE_PHFIG.3 GENLIB_SAVE_PHSC.3 GENLIB_SC_BOTTOM.3 \
+ GENLIB_SC_LEFT.3 GENLIB_SC_PLACE.3 GENLIB_SC_RIGHT.3 \
+ GENLIB_SC_TOP.3 GENLIB_UNFLATTEN_LOFIG.3 GENLIB_WIRE1.3 \
+ GENLIB_WIRE2.3 GENLIB_WIRE3.3 GENLIB_MACRO.3 DPGEN_ADSB2F.3 \
+ DPGEN_AND2.3 DPGEN_AND3.3 DPGEN_AND4.3 DPGEN_BUFF.3 DPGEN_BUSE.3 \
+ DPGEN_CONST.3 DPGEN_DFF.3 DPGEN_DFFT.3 DPGEN_INV.3 DPGEN_MUX2.3 \
+ DPGEN_NAND2.3 DPGEN_NAND2MASK.3 DPGEN_NAND3.3 DPGEN_NAND4.3 \
+ DPGEN_NBUSE.3 DPGEN_NMUX2.3 DPGEN_NOR2.3 DPGEN_NOR2MASK.3 \
+ DPGEN_NOR3.3 DPGEN_NOR4.3 DPGEN_NUL.3 DPGEN_OR2.3 DPGEN_OR3.3 \
+ DPGEN_OR4.3 DPGEN_RF1.3 DPGEN_RF1D.3 DPGEN_ROM2.3 DPGEN_ROM4.3 \
+ DPGEN_SFF.3 DPGEN_SFFT.3 DPGEN_SHIFT.3 DPGEN_XNOR2.3 \
+ DPGEN_XNOR2MASK.3 DPGEN_XOR2.3 AFFECT.3 DECLAR.3 DEF_GENPAT.3 \
+ GETCPAT.3 INIT.3 LABEL.3 SAVE.3
+
+MAN5= ap.5 catal.5 prol.5 vbe.5 vhdl.5 vst.5 al.5 spi.5 ctl.5 \
+ fsm.5 pat.5 lax.5 sxlib.5 vasy.5
+
+pre-configure:
+ @CONFIG_GUESS_DIRS=$$(${FIND} ${WRKDIR} -name config.guess -o -name config.sub \
+ | ${XARGS} -n 1 ${DIRNAME}); \
+ for _D in $${CONFIG_GUESS_DIRS}; do \
+ ${CP} -f ${TEMPLATES}/config.guess $${_D}/config.guess; \
+ ${CHMOD} a+rx $${_D}/config.guess; \
+ ${CP} -f ${TEMPLATES}/config.sub $${_D}/config.sub; \
+ ${CHMOD} a+rx $${_D}/config.sub; \
+ done
+
+pre-install:
+ ${MKDIR} ${ALLIANCE_TOP}
+
+post-install:
+ @${SED} -e 's,%%PREFIX%%,${PREFIX},' < ${PKGMESSAGE_IN} > ${PKGMESSAGE}
+ @${CAT} ${PKGMESSAGE}
+
+.include <bsd.port.post.mk>
diff --git a/cad/alliance/distinfo b/cad/alliance/distinfo
new file mode 100644
index 000000000000..a58eb9803b9d
--- /dev/null
+++ b/cad/alliance/distinfo
@@ -0,0 +1,2 @@
+MD5 (alliance-5.0-20031209.tar.gz) = 33c0eda6c1d6e5f1a07029b157595c2f
+SIZE (alliance-5.0-20031209.tar.gz) = 12222938
diff --git a/cad/alliance/files/patch-exp::src::ht.c b/cad/alliance/files/patch-exp::src::ht.c
new file mode 100644
index 000000000000..4ba85f65659b
--- /dev/null
+++ b/cad/alliance/files/patch-exp::src::ht.c
@@ -0,0 +1,7 @@
+--- exp/src/ht.c.orig Thu May 6 08:41:16 2004
++++ exp/src/ht.c Thu May 6 08:41:35 2004
+@@ -1,3 +1,4 @@
++#include <sys/types.h>
+ #include <stdio.h>
+ #include <stdlib.h>
+ #include <string.h>
diff --git a/cad/alliance/files/patch-sea::src::sea.sh b/cad/alliance/files/patch-sea::src::sea.sh
new file mode 100644
index 000000000000..585e4f470b42
--- /dev/null
+++ b/cad/alliance/files/patch-sea::src::sea.sh
@@ -0,0 +1,19 @@
+--- sea/src/sea.sh.orig Sun May 9 03:42:49 2004
++++ sea/src/sea.sh Sun May 9 03:43:32 2004
+@@ -376,11 +376,11 @@
+ exit 1
+ fi
+
+- if [ "`uname`" != "SunOS" ]; then
+- echo "sea.sh:error: Must be run only under Solaris OS."
+- echo " (current OS : \"$ALLIANCE_OS\")"
+- exit 1
+- fi
++ #if [ "`uname`" != "SunOS" ]; then
++ # echo "sea.sh:error: Must be run only under Solaris OS."
++ # echo " (current OS : \"$ALLIANCE_OS\")"
++ # exit 1
++ #fi
+
+
+ if [ "$devel" = "y" ]; then
diff --git a/cad/alliance/files/patch-sea::src::seplace.sh b/cad/alliance/files/patch-sea::src::seplace.sh
new file mode 100644
index 000000000000..6baf95c11d43
--- /dev/null
+++ b/cad/alliance/files/patch-sea::src::seplace.sh
@@ -0,0 +1,17 @@
+--- sea/src/seplace.sh.orig Sun May 9 07:29:32 2004
++++ sea/src/seplace.sh Sun May 9 07:29:49 2004
+@@ -225,10 +225,10 @@
+ title
+
+
+- if [ "`uname`" != "SunOS" ]; then
+- echo "seplace.sh:error: This progam must be run under Solaris."
+- exit 1
+- fi
++ #if [ "`uname`" != "SunOS" ]; then
++ # echo "seplace.sh:error: This progam must be run under Solaris."
++ # exit 1
++ #fi
+
+
+ # --------------------------------------------------------------------
diff --git a/cad/alliance/files/patch-sea::src::seroute.sh b/cad/alliance/files/patch-sea::src::seroute.sh
new file mode 100644
index 000000000000..c45ba45066e3
--- /dev/null
+++ b/cad/alliance/files/patch-sea::src::seroute.sh
@@ -0,0 +1,17 @@
+--- sea/src/seroute.sh.orig Sun May 9 03:43:37 2004
++++ sea/src/seroute.sh Sun May 9 03:43:53 2004
+@@ -226,10 +226,10 @@
+ title
+
+
+- if [ "`uname`" != "SunOS" ]; then
+- echo "seplace.sh:error: This progam must be run under Solaris."
+- exit 1
+- fi
++ #if [ "`uname`" != "SunOS" ]; then
++ # echo "seplace.sh:error: This progam must be run under Solaris."
++ # exit 1
++ #fi
+
+
+ # --------------------------------------------------------------------
diff --git a/cad/alliance/files/pkg-message.in b/cad/alliance/files/pkg-message.in
new file mode 100644
index 000000000000..d83c31cbe876
--- /dev/null
+++ b/cad/alliance/files/pkg-message.in
@@ -0,0 +1,4 @@
+-------------------------------------------------------------------------------
+NOTE: To use Alliance you need to set several environment variables.
+ See %%PREFIX%%/alliance/etc/alc_env.{csh,sh} for the details.
+-------------------------------------------------------------------------------
diff --git a/cad/alliance/files/srcdirs.list b/cad/alliance/files/srcdirs.list
new file mode 100644
index 000000000000..146ecd86965c
--- /dev/null
+++ b/cad/alliance/files/srcdirs.list
@@ -0,0 +1,84 @@
+mbk/src
+mbkal/src
+mbkap/src
+mbkedif/src
+mbkhilo/src
+mbkmg/src
+mbkspice/src
+mbkvhdl/src
+mbkvrlog/src
+mbkvti/src
+mbkvhdlg/src
+aut/src
+rds/src
+rdscif/src
+rdsgds/src
+elp/src
+abl/src
+bdd/src
+log/src
+btr/src
+vex/src
+ctl/src
+ctp/src
+abe/src
+abt/src
+abv/src
+fsm/src
+fks/src
+fvh/src
+ftl/src
+rtn/src
+rtd/src
+scl/src
+vbh/src
+vbl/src
+vpn/src
+vpd/src
+vvh/src
+vtl/src
+pat/src
+ppt/src
+phl/src
+druc/src
+beh/src
+bhl/src
+bvl/src
+alcban/src
+asimut/src
+attila/src
+b2f/src
+boog/src
+boom/src
+cells/src
+dreal/src
+exp/src
+flatbeh/src
+flatlo/src
+flatph/src
+fmi/src
+fsp/src
+genlib/src
+genpat/src
+graal/src
+k2f/src
+l2p/src
+loon/src
+lvx/src
+lynx/src
+m2e/src
+mocha/src
+nero/src
+ocp/src
+proof/src
+ring/src
+s2r/src
+scapin/src
+sea/src
+syf/src
+vasy/src
+x2y/src
+xfsm/src
+xpat/src
+xsch/src
+xvpn/src
diff --git a/cad/alliance/pkg-descr b/cad/alliance/pkg-descr
new file mode 100644
index 000000000000..4cbe22584e91
--- /dev/null
+++ b/cad/alliance/pkg-descr
@@ -0,0 +1,8 @@
+Alliance is a complete set of free CAD tools and portable libraries
+for VLSI design. It includes a VHDL compiler and simulator, logic
+synthesis tools, and automatic place and route tools. A complete set
+of portable CMOS libraries is provided. Alliance is the result of a
+twelve year effort spent at ASIM department of LIP6 laboratory of
+the Pierre et Marie Curie University (Paris VI, France).
+
+WWW: http://www-asim.lip6.fr/recherche/alliance/
diff --git a/cad/alliance/pkg-plist b/cad/alliance/pkg-plist
new file mode 100644
index 000000000000..bd12d7906907
--- /dev/null
+++ b/cad/alliance/pkg-plist
@@ -0,0 +1,1158 @@
+@comment $FreeBSD$
+alliance/lib/libMph.la
+alliance/lib/libMph.a
+alliance/lib/libMpu.la
+alliance/lib/libMpu.a
+alliance/lib/libMlo.la
+alliance/lib/libMlo.a
+alliance/lib/libMlu.la
+alliance/lib/libMlu.a
+alliance/lib/libMut.la
+alliance/lib/libMut.a
+alliance/lib/libRcn.la
+alliance/lib/libRcn.a
+alliance/lib/libMal.la
+alliance/lib/libMal.a
+alliance/lib/libMap.la
+alliance/lib/libMap.a
+alliance/lib/libMel.la
+alliance/lib/libMel.a
+alliance/lib/libMhl.la
+alliance/lib/libMhl.a
+alliance/lib/libMmg.la
+alliance/lib/libMmg.a
+alliance/lib/libMsl.la
+alliance/lib/libMsl.a
+alliance/lib/libMvl.la
+alliance/lib/libMvl.a
+alliance/lib/libMgl.la
+alliance/lib/libMgl.a
+alliance/lib/libMcp.la
+alliance/lib/libMcp.a
+alliance/lib/libMcl.la
+alliance/lib/libMcl.a
+alliance/lib/libMvg.la
+alliance/lib/libMvg.a
+alliance/lib/libAut.la
+alliance/lib/libAut.a
+alliance/lib/libRds.la
+alliance/lib/libRds.a
+alliance/lib/libRut.la
+alliance/lib/libRut.a
+alliance/lib/libRfm.la
+alliance/lib/libRfm.a
+alliance/lib/libRpr.la
+alliance/lib/libRpr.a
+alliance/lib/libRwi.la
+alliance/lib/libRwi.a
+alliance/lib/libRtl.la
+alliance/lib/libRtl.a
+alliance/lib/libRcf.la
+alliance/lib/libRcf.a
+alliance/lib/libRgs.la
+alliance/lib/libRgs.a
+alliance/lib/libElp.la
+alliance/lib/libElp.a
+alliance/lib/libAbl.la
+alliance/lib/libAbl.a
+alliance/lib/libBdd.la
+alliance/lib/libBdd.a
+alliance/lib/libLog.la
+alliance/lib/libLog.a
+alliance/lib/libBtr.la
+alliance/lib/libBtr.a
+alliance/lib/libVex.la
+alliance/lib/libVex.a
+alliance/lib/libCtl.la
+alliance/lib/libCtl.a
+alliance/lib/libCtp.la
+alliance/lib/libCtp.a
+alliance/lib/libAbe.la
+alliance/lib/libAbe.a
+alliance/lib/libAbt.la
+alliance/lib/libAbt.a
+alliance/lib/libAbv.la
+alliance/lib/libAbv.a
+alliance/lib/libFsm.la
+alliance/lib/libFsm.a
+alliance/lib/libFks.la
+alliance/lib/libFks.a
+alliance/lib/libFvh.la
+alliance/lib/libFvh.a
+alliance/lib/libFtl.la
+alliance/lib/libFtl.a
+alliance/lib/libRtn.la
+alliance/lib/libRtn.a
+alliance/lib/libRtd.la
+alliance/lib/libRtd.a
+alliance/lib/libScl.la
+alliance/lib/libScl.a
+alliance/lib/libVbh.la
+alliance/lib/libVbh.a
+alliance/lib/libVbl.la
+alliance/lib/libVbl.a
+alliance/lib/libVpn.la
+alliance/lib/libVpn.a
+alliance/lib/libVpd.la
+alliance/lib/libVpd.a
+alliance/lib/libVvh.la
+alliance/lib/libVvh.a
+alliance/lib/libVtl.la
+alliance/lib/libVtl.a
+alliance/lib/libPat.la
+alliance/lib/libPat.a
+alliance/lib/libPpt.la
+alliance/lib/libPpt.a
+alliance/lib/libPhl.la
+alliance/lib/libPhl.a
+alliance/lib/libVrd.la
+alliance/lib/libVrd.a
+alliance/lib/libBeh.la
+alliance/lib/libBeh.a
+alliance/lib/libBhl.la
+alliance/lib/libBhl.a
+alliance/lib/libBvl.la
+alliance/lib/libBvl.a
+alliance/lib/libCst.la
+alliance/lib/libCst.a
+alliance/lib/libSch.la
+alliance/lib/libSch.a
+alliance/lib/libMgn.la
+alliance/lib/libMgn.a
+alliance/lib/libPgn.la
+alliance/lib/libPgn.a
+@dirrm alliance/lib
+alliance/include/mlu.h
+alliance/include/mlo.h
+alliance/include/mpu.h
+alliance/include/mph.h
+alliance/include/mut.h
+alliance/include/rcn.h
+alliance/include/msl.h
+alliance/include/aut.h
+alliance/include/rds.h
+alliance/include/rfm.h
+alliance/include/rtl.h
+alliance/include/rwi.h
+alliance/include/rpr.h
+alliance/include/rut.h
+alliance/include/elp.h
+alliance/include/abl.h
+alliance/include/bdd.h
+alliance/include/log.h
+alliance/include/btr.h
+alliance/include/vex.h
+alliance/include/ctl.h
+alliance/include/ctp.h
+alliance/include/abe.h
+alliance/include/abt.h
+alliance/include/abv.h
+alliance/include/fsm.h
+alliance/include/fks.h
+alliance/include/fvh.h
+alliance/include/ftl.h
+alliance/include/rtn.h
+alliance/include/rtd.h
+alliance/include/scl.h
+alliance/include/vbh.h
+alliance/include/vbl.h
+alliance/include/vpn.h
+alliance/include/vpd.h
+alliance/include/vvh.h
+alliance/include/vtl.h
+alliance/include/pat.h
+alliance/include/ppt.h
+alliance/include/phl.h
+alliance/include/vrd.h
+alliance/include/beh.h
+alliance/include/bhl.h
+alliance/include/bvl.h
+alliance/include/cst.h
+alliance/include/sch.h
+alliance/include/mgn.h
+alliance/include/mbkgen.h
+alliance/include/genlib.h
+alliance/include/dgn.h
+alliance/include/genpat.h
+@dirrm alliance/include
+alliance/etc/spimodel.cfg
+alliance/etc/cmos.rds
+alliance/etc/prol.elp
+alliance/etc/attila.conf
+alliance/etc/alc_env.csh
+alliance/etc/alc_env.sh
+alliance/etc/cmos.dreal
+alliance/etc/cmos.graal
+alliance/etc/sxlib.scapin
+alliance/etc/se_defaults.mac
+alliance/etc/cmos.lef
+alliance/etc/xfsm.par
+alliance/etc/xpat.par
+alliance/etc/xsch.par
+alliance/etc/xvpn.par
+@unexec rmdir %D/alliance/etc > /dev/null 2>&1 || true
+alliance/bin/x2vy
+alliance/bin/druc
+alliance/bin/alcbanner
+alliance/bin/asimut
+alliance/bin/attila
+alliance/bin/b2f
+alliance/bin/boog
+alliance/bin/boom
+alliance/bin/dreal
+alliance/bin/exp
+alliance/bin/flatbeh
+alliance/bin/flatlo
+alliance/bin/flatph
+alliance/bin/fmi
+alliance/bin/fsp
+alliance/bin/genlib
+alliance/bin/genpat
+alliance/bin/graal
+alliance/bin/k2f
+alliance/bin/l2p
+alliance/bin/loon
+alliance/bin/lvx
+alliance/bin/cougar
+alliance/bin/flatrds
+alliance/bin/m2e
+alliance/bin/moka
+alliance/bin/nero
+alliance/bin/pdv
+alliance/bin/ocp
+alliance/bin/proof
+alliance/bin/ring
+alliance/bin/s2r
+alliance/bin/scapin
+alliance/bin/a2def
+alliance/bin/def2a
+alliance/bin/sxlib2lef
+alliance/bin/sea
+alliance/bin/seroute
+alliance/bin/seplace
+alliance/bin/a2lef
+alliance/bin/syf
+alliance/bin/vasy
+alliance/bin/x2y
+alliance/bin/xfsm
+alliance/bin/xpat
+alliance/bin/xsch
+alliance/bin/xvpn
+@dirrm alliance/bin
+alliance/doc/html/attila/attila.html
+alliance/doc/html/attila/ref_attila.html
+alliance/doc/html/attila/man_attila.html
+@dirrm alliance/doc/html/attila
+alliance/doc/html/genlib/genlib.html
+alliance/doc/html/genlib/ref_genlib.html
+alliance/doc/html/genlib/man_genlib.html
+alliance/doc/html/genlib/man_genlib_macro.html
+alliance/doc/html/genlib/man_dpgen_inv.html
+alliance/doc/html/genlib/man_dpgen_buff.html
+alliance/doc/html/genlib/man_dpgen_nand2.html
+alliance/doc/html/genlib/man_dpgen_nand3.html
+alliance/doc/html/genlib/man_dpgen_nand4.html
+alliance/doc/html/genlib/man_dpgen_and2.html
+alliance/doc/html/genlib/man_dpgen_and3.html
+alliance/doc/html/genlib/man_dpgen_and4.html
+alliance/doc/html/genlib/man_dpgen_nor2.html
+alliance/doc/html/genlib/man_dpgen_nor3.html
+alliance/doc/html/genlib/man_dpgen_nor4.html
+alliance/doc/html/genlib/man_dpgen_or2.html
+alliance/doc/html/genlib/man_dpgen_or3.html
+alliance/doc/html/genlib/man_dpgen_or4.html
+alliance/doc/html/genlib/man_dpgen_xnor2.html
+alliance/doc/html/genlib/man_dpgen_xor2.html
+alliance/doc/html/genlib/man_dpgen_nmux2.html
+alliance/doc/html/genlib/man_dpgen_mux2.html
+alliance/doc/html/genlib/man_dpgen_nbuse.html
+alliance/doc/html/genlib/man_dpgen_buse.html
+alliance/doc/html/genlib/man_dpgen_nand2mask.html
+alliance/doc/html/genlib/man_dpgen_nor2mask.html
+alliance/doc/html/genlib/man_dpgen_xnor2mask.html
+alliance/doc/html/genlib/man_dpgen_adsb2f.html
+alliance/doc/html/genlib/man_dpgen_shift.html
+alliance/doc/html/genlib/man_dpgen_nul.html
+alliance/doc/html/genlib/man_dpgen_const.html
+alliance/doc/html/genlib/man_dpgen_rom2.html
+alliance/doc/html/genlib/man_dpgen_rom4.html
+alliance/doc/html/genlib/man_dpgen_rf1.html
+alliance/doc/html/genlib/man_dpgen_rf1d.html
+alliance/doc/html/genlib/man_dpgen_dff.html
+alliance/doc/html/genlib/man_dpgen_dfft.html
+alliance/doc/html/genlib/man_dpgen_sff.html
+alliance/doc/html/genlib/man_dpgen_sfft.html
+@dirrm alliance/doc/html/genlib
+alliance/doc/html/nero/nero.html
+alliance/doc/html/nero/ref_nero.html
+alliance/doc/html/nero/man_nero.html
+@dirrm alliance/doc/html/nero
+@dirrm alliance/doc/html
+alliance/doc/pdf/attila.pdf
+alliance/doc/pdf/genlib.pdf
+alliance/doc/pdf/nero.pdf
+@dirrm alliance/doc/pdf
+@dirrm alliance/doc
+alliance/cells/dp_sxlib/CATAL
+alliance/cells/dp_sxlib/dp_dff_scan_x4.ap
+alliance/cells/dp_sxlib/dp_dff_scan_x4.vbe
+alliance/cells/dp_sxlib/dp_dff_scan_x4_buf.ap
+alliance/cells/dp_sxlib/dp_dff_scan_x4_buf.vbe
+alliance/cells/dp_sxlib/dp_dff_x4.ap
+alliance/cells/dp_sxlib/dp_dff_x4.vbe
+alliance/cells/dp_sxlib/dp_dff_x4_buf.ap
+alliance/cells/dp_sxlib/dp_dff_x4_buf.vbe
+alliance/cells/dp_sxlib/dp_mux_x2.ap
+alliance/cells/dp_sxlib/dp_mux_x2.vbe
+alliance/cells/dp_sxlib/dp_mux_x2_buf.ap
+alliance/cells/dp_sxlib/dp_mux_x2_buf.vbe
+alliance/cells/dp_sxlib/dp_mux_x4.ap
+alliance/cells/dp_sxlib/dp_mux_x4.vbe
+alliance/cells/dp_sxlib/dp_mux_x4_buf.ap
+alliance/cells/dp_sxlib/dp_mux_x4_buf.vbe
+alliance/cells/dp_sxlib/dp_nmux_x1.ap
+alliance/cells/dp_sxlib/dp_nmux_x1.vbe
+alliance/cells/dp_sxlib/dp_nmux_x1_buf.ap
+alliance/cells/dp_sxlib/dp_nmux_x1_buf.vbe
+alliance/cells/dp_sxlib/dp_nts_x2.ap
+alliance/cells/dp_sxlib/dp_nts_x2.vbe
+alliance/cells/dp_sxlib/dp_nts_x2_buf.ap
+alliance/cells/dp_sxlib/dp_nts_x2_buf.vbe
+alliance/cells/dp_sxlib/dp_rom2_buf.ap
+alliance/cells/dp_sxlib/dp_rom2_buf.vbe
+alliance/cells/dp_sxlib/dp_rom4_buf.ap
+alliance/cells/dp_sxlib/dp_rom4_buf.vbe
+alliance/cells/dp_sxlib/dp_rom4_nxr2_x4.ap
+alliance/cells/dp_sxlib/dp_rom4_nxr2_x4.vbe
+alliance/cells/dp_sxlib/dp_rom4_xr2_x4.ap
+alliance/cells/dp_sxlib/dp_rom4_xr2_x4.vbe
+alliance/cells/dp_sxlib/dp_sff_scan_x4.ap
+alliance/cells/dp_sxlib/dp_sff_scan_x4.vbe
+alliance/cells/dp_sxlib/dp_sff_scan_x4_buf.ap
+alliance/cells/dp_sxlib/dp_sff_scan_x4_buf.vbe
+alliance/cells/dp_sxlib/dp_sff_x4.ap
+alliance/cells/dp_sxlib/dp_sff_x4.vbe
+alliance/cells/dp_sxlib/dp_sff_x4_buf.ap
+alliance/cells/dp_sxlib/dp_sff_x4_buf.vbe
+alliance/cells/dp_sxlib/dp_sxlib.lef
+alliance/cells/dp_sxlib/dp_ts_x4.ap
+alliance/cells/dp_sxlib/dp_ts_x4.vbe
+alliance/cells/dp_sxlib/dp_ts_x4_buf.ap
+alliance/cells/dp_sxlib/dp_ts_x4_buf.vbe
+alliance/cells/dp_sxlib/dp_ts_x8.ap
+alliance/cells/dp_sxlib/dp_ts_x8.vbe
+alliance/cells/dp_sxlib/dp_ts_x8_buf.ap
+alliance/cells/dp_sxlib/dp_ts_x8_buf.vbe
+@dirrm alliance/cells/dp_sxlib
+alliance/cells/padlib/CATAL
+alliance/cells/padlib/corner_sp.ap
+alliance/cells/padlib/corner_sp.vbe
+alliance/cells/padlib/padreal.ap
+alliance/cells/padlib/padreal.cif
+alliance/cells/padlib/padsymb.db
+alliance/cells/padlib/palck_sp.ap
+alliance/cells/padlib/pali_sp.ap
+alliance/cells/padlib/paliot_sp.ap
+alliance/cells/padlib/paliotw_sp.ap
+alliance/cells/padlib/palo_sp.ap
+alliance/cells/padlib/palot_sp.ap
+alliance/cells/padlib/palotw_sp.ap
+alliance/cells/padlib/palow_sp.ap
+alliance/cells/padlib/palvdde_sp.ap
+alliance/cells/padlib/palvddeck_sp.ap
+alliance/cells/padlib/palvddi_sp.ap
+alliance/cells/padlib/palvddick_sp.ap
+alliance/cells/padlib/palvsse_sp.ap
+alliance/cells/padlib/palvsseck_sp.ap
+alliance/cells/padlib/palvssi_sp.ap
+alliance/cells/padlib/palvssick_sp.ap
+alliance/cells/padlib/pck_sp.al
+alliance/cells/padlib/pck_sp.ap
+alliance/cells/padlib/pck_sp.vbe
+alliance/cells/padlib/pi_sp.al
+alliance/cells/padlib/pi_sp.ap
+alliance/cells/padlib/pi_sp.vbe
+alliance/cells/padlib/piot_sp.al
+alliance/cells/padlib/piot_sp.ap
+alliance/cells/padlib/piot_sp.vbe
+alliance/cells/padlib/piotw_sp.al
+alliance/cells/padlib/piotw_sp.ap
+alliance/cells/padlib/piotw_sp.vbe
+alliance/cells/padlib/po_sp.al
+alliance/cells/padlib/po_sp.ap
+alliance/cells/padlib/po_sp.vbe
+alliance/cells/padlib/pot_sp.al
+alliance/cells/padlib/pot_sp.ap
+alliance/cells/padlib/pot_sp.vbe
+alliance/cells/padlib/potw_sp.al
+alliance/cells/padlib/potw_sp.ap
+alliance/cells/padlib/potw_sp.vbe
+alliance/cells/padlib/pow_sp.al
+alliance/cells/padlib/pow_sp.ap
+alliance/cells/padlib/pow_sp.vbe
+alliance/cells/padlib/pvdde_sp.al
+alliance/cells/padlib/pvdde_sp.ap
+alliance/cells/padlib/pvdde_sp.vbe
+alliance/cells/padlib/pvddeck_sp.al
+alliance/cells/padlib/pvddeck_sp.ap
+alliance/cells/padlib/pvddeck_sp.vbe
+alliance/cells/padlib/pvddi_sp.al
+alliance/cells/padlib/pvddi_sp.ap
+alliance/cells/padlib/pvddi_sp.vbe
+alliance/cells/padlib/pvddick_sp.al
+alliance/cells/padlib/pvddick_sp.ap
+alliance/cells/padlib/pvddick_sp.vbe
+alliance/cells/padlib/pvsse_sp.al
+alliance/cells/padlib/pvsse_sp.ap
+alliance/cells/padlib/pvsse_sp.vbe
+alliance/cells/padlib/pvsseck_sp.al
+alliance/cells/padlib/pvsseck_sp.ap
+alliance/cells/padlib/pvsseck_sp.vbe
+alliance/cells/padlib/pvssi_sp.al
+alliance/cells/padlib/pvssi_sp.ap
+alliance/cells/padlib/pvssi_sp.vbe
+alliance/cells/padlib/pvssick_sp.al
+alliance/cells/padlib/pvssick_sp.ap
+alliance/cells/padlib/pvssick_sp.vbe
+@dirrm alliance/cells/padlib
+alliance/cells/rflib/CATAL
+alliance/cells/rflib/rf_dec_bufad0.ap
+alliance/cells/rflib/rf_dec_bufad0.vbe
+alliance/cells/rflib/rf_dec_bufad1.ap
+alliance/cells/rflib/rf_dec_bufad1.vbe
+alliance/cells/rflib/rf_dec_bufad2.ap
+alliance/cells/rflib/rf_dec_bufad2.vbe
+alliance/cells/rflib/rf_dec_nand2.ap
+alliance/cells/rflib/rf_dec_nand2.vbe
+alliance/cells/rflib/rf_dec_nand3.ap
+alliance/cells/rflib/rf_dec_nand3.vbe
+alliance/cells/rflib/rf_dec_nand4.ap
+alliance/cells/rflib/rf_dec_nand4.vbe
+alliance/cells/rflib/rf_dec_nao3.ap
+alliance/cells/rflib/rf_dec_nao3.vbe
+alliance/cells/rflib/rf_dec_nbuf.ap
+alliance/cells/rflib/rf_dec_nbuf.vbe
+alliance/cells/rflib/rf_dec_nor3.ap
+alliance/cells/rflib/rf_dec_nor3.vbe
+alliance/cells/rflib/rf_fifo_buf.ap
+alliance/cells/rflib/rf_fifo_buf.vbe
+alliance/cells/rflib/rf_fifo_clock.ap
+alliance/cells/rflib/rf_fifo_clock.vbe
+alliance/cells/rflib/rf_fifo_empty.ap
+alliance/cells/rflib/rf_fifo_empty.vbe
+alliance/cells/rflib/rf_fifo_full.ap
+alliance/cells/rflib/rf_fifo_full.vbe
+alliance/cells/rflib/rf_fifo_inc.ap
+alliance/cells/rflib/rf_fifo_inc.vbe
+alliance/cells/rflib/rf_fifo_nop.ap
+alliance/cells/rflib/rf_fifo_nop.vbe
+alliance/cells/rflib/rf_fifo_ok.ap
+alliance/cells/rflib/rf_fifo_ok.vbe
+alliance/cells/rflib/rf_fifo_orand4.ap
+alliance/cells/rflib/rf_fifo_orand4.vbe
+alliance/cells/rflib/rf_fifo_orand5.ap
+alliance/cells/rflib/rf_fifo_orand5.vbe
+alliance/cells/rflib/rf_fifo_ptreset.ap
+alliance/cells/rflib/rf_fifo_ptreset.vbe
+alliance/cells/rflib/rf_fifo_ptset.ap
+alliance/cells/rflib/rf_fifo_ptset.vbe
+alliance/cells/rflib/rf_inmux_buf_2.ap
+alliance/cells/rflib/rf_inmux_buf_2.vbe
+alliance/cells/rflib/rf_inmux_buf_4.ap
+alliance/cells/rflib/rf_inmux_buf_4.vbe
+alliance/cells/rflib/rf_inmux_mem.ap
+alliance/cells/rflib/rf_inmux_mem.vbe
+alliance/cells/rflib/rf_mid_buf_2.ap
+alliance/cells/rflib/rf_mid_buf_2.vbe
+alliance/cells/rflib/rf_mid_buf_4.ap
+alliance/cells/rflib/rf_mid_buf_4.vbe
+alliance/cells/rflib/rf_mid_mem.ap
+alliance/cells/rflib/rf_mid_mem.vbe
+alliance/cells/rflib/rf_mid_mem_r0.ap
+alliance/cells/rflib/rf_mid_mem_r0.vbe
+alliance/cells/rflib/rf_out_buf_2.ap
+alliance/cells/rflib/rf_out_buf_2.vbe
+alliance/cells/rflib/rf_out_buf_4.ap
+alliance/cells/rflib/rf_out_buf_4.vbe
+alliance/cells/rflib/rf_out_mem.ap
+alliance/cells/rflib/rf_out_mem.vbe
+alliance/cells/rflib/rflib.lef
+@dirrm alliance/cells/rflib
+alliance/cells/ramlib/ramlib.lef
+alliance/cells/ramlib/CATAL
+alliance/cells/ramlib/ram_mem_buf0.ap
+alliance/cells/ramlib/ram_mem_buf0.vbe
+alliance/cells/ramlib/ram_mem_buf1.ap
+alliance/cells/ramlib/ram_mem_buf1.vbe
+alliance/cells/ramlib/ram_mem_data.ap
+alliance/cells/ramlib/ram_mem_data.vbe
+alliance/cells/ramlib/ram_mem_dec2.ap
+alliance/cells/ramlib/ram_mem_dec2.vbe
+alliance/cells/ramlib/ram_mem_dec3.ap
+alliance/cells/ramlib/ram_mem_dec3.vbe
+alliance/cells/ramlib/ram_mem_dec4.ap
+alliance/cells/ramlib/ram_mem_dec4.vbe
+alliance/cells/ramlib/ram_mem_dec5.ap
+alliance/cells/ramlib/ram_mem_dec5.vbe
+alliance/cells/ramlib/ram_mem_deci.ap
+alliance/cells/ramlib/ram_mem_deci.vbe
+alliance/cells/ramlib/ram_prech_buf0.ap
+alliance/cells/ramlib/ram_prech_buf0.vbe
+alliance/cells/ramlib/ram_prech_buf1.ap
+alliance/cells/ramlib/ram_prech_buf1.vbe
+alliance/cells/ramlib/ram_prech_data.ap
+alliance/cells/ramlib/ram_prech_data.vbe
+alliance/cells/ramlib/ram_prech_dec0.ap
+alliance/cells/ramlib/ram_prech_dec0.vbe
+alliance/cells/ramlib/ram_sense_buf0.ap
+alliance/cells/ramlib/ram_sense_buf0.vbe
+alliance/cells/ramlib/ram_sense_buf1.ap
+alliance/cells/ramlib/ram_sense_buf1.vbe
+alliance/cells/ramlib/ram_sense_data.ap
+alliance/cells/ramlib/ram_sense_data.vbe
+alliance/cells/ramlib/ram_sense_decad12.ap
+alliance/cells/ramlib/ram_sense_decad12.vbe
+alliance/cells/ramlib/ram_sense_decad2.ap
+alliance/cells/ramlib/ram_sense_decad2.vbe
+alliance/cells/ramlib/ram_sense_decad3.ap
+alliance/cells/ramlib/ram_sense_decad3.vbe
+alliance/cells/ramlib/ram_sense_decad4.ap
+alliance/cells/ramlib/ram_sense_decad4.vbe
+alliance/cells/ramlib/ram_sense_decad5.ap
+alliance/cells/ramlib/ram_sense_decad5.vbe
+@dirrm alliance/cells/ramlib
+alliance/cells/romlib/romlib.lef
+alliance/cells/romlib/CATAL
+alliance/cells/romlib/rom_data_insel.ap
+alliance/cells/romlib/rom_data_insel.vbe
+alliance/cells/romlib/rom_data_invss.ap
+alliance/cells/romlib/rom_data_invss.vbe
+alliance/cells/romlib/rom_data_midsel.ap
+alliance/cells/romlib/rom_data_midsel.vbe
+alliance/cells/romlib/rom_data_midvss.ap
+alliance/cells/romlib/rom_data_midvss.vbe
+alliance/cells/romlib/rom_data_outsel.ap
+alliance/cells/romlib/rom_data_outsel_ts.ap
+alliance/cells/romlib/rom_data_outsel_ts.vbe
+alliance/cells/romlib/rom_data_outsel.vbe
+alliance/cells/romlib/rom_data_outvss.ap
+alliance/cells/romlib/rom_data_outvss_ts.ap
+alliance/cells/romlib/rom_data_outvss_ts.vbe
+alliance/cells/romlib/rom_data_outvss.vbe
+alliance/cells/romlib/rom_dec_adbuf.ap
+alliance/cells/romlib/rom_dec_adbuf.vbe
+alliance/cells/romlib/rom_dec_col2.ap
+alliance/cells/romlib/rom_dec_col2.vbe
+alliance/cells/romlib/rom_dec_col3.ap
+alliance/cells/romlib/rom_dec_col3.vbe
+alliance/cells/romlib/rom_dec_col4.ap
+alliance/cells/romlib/rom_dec_col4.vbe
+alliance/cells/romlib/rom_dec_colbuf.ap
+alliance/cells/romlib/rom_dec_colbuf.vbe
+alliance/cells/romlib/rom_dec_line01.ap
+alliance/cells/romlib/rom_dec_line01.vbe
+alliance/cells/romlib/rom_dec_line23.ap
+alliance/cells/romlib/rom_dec_line23.vbe
+alliance/cells/romlib/rom_dec_line45.ap
+alliance/cells/romlib/rom_dec_line45.vbe
+alliance/cells/romlib/rom_dec_line67.ap
+alliance/cells/romlib/rom_dec_line67.vbe
+alliance/cells/romlib/rom_dec_nop.ap
+alliance/cells/romlib/rom_dec_nop.vbe
+alliance/cells/romlib/rom_dec_prech.ap
+alliance/cells/romlib/rom_dec_prech.vbe
+alliance/cells/romlib/rom_dec_selmux01.ap
+alliance/cells/romlib/rom_dec_selmux01_ts.ap
+alliance/cells/romlib/rom_dec_selmux01_ts.vbe
+alliance/cells/romlib/rom_dec_selmux01.vbe
+alliance/cells/romlib/rom_dec_selmux23.ap
+alliance/cells/romlib/rom_dec_selmux23_ts.ap
+alliance/cells/romlib/rom_dec_selmux23_ts.vbe
+alliance/cells/romlib/rom_dec_selmux23.vbe
+alliance/cells/romlib/rom_dec_selmux45.ap
+alliance/cells/romlib/rom_dec_selmux45_ts.ap
+alliance/cells/romlib/rom_dec_selmux45_ts.vbe
+alliance/cells/romlib/rom_dec_selmux45.vbe
+alliance/cells/romlib/rom_dec_selmux67_128.ap
+alliance/cells/romlib/rom_dec_selmux67_128_ts.ap
+alliance/cells/romlib/rom_dec_selmux67_128_ts.vbe
+alliance/cells/romlib/rom_dec_selmux67_128.vbe
+alliance/cells/romlib/rom_dec_selmux67.ap
+alliance/cells/romlib/rom_dec_selmux67_ts.ap
+alliance/cells/romlib/rom_dec_selmux67_ts.vbe
+alliance/cells/romlib/rom_dec_selmux67.vbe
+@dirrm alliance/cells/romlib
+alliance/cells/sxlib/a2_x2.al
+alliance/cells/sxlib/a2_x4.al
+alliance/cells/sxlib/a3_x2.al
+alliance/cells/sxlib/a3_x4.al
+alliance/cells/sxlib/a4_x2.al
+alliance/cells/sxlib/a4_x4.al
+alliance/cells/sxlib/an12_x1.al
+alliance/cells/sxlib/an12_x4.al
+alliance/cells/sxlib/ao22_x2.al
+alliance/cells/sxlib/ao22_x4.al
+alliance/cells/sxlib/ao2o22_x2.al
+alliance/cells/sxlib/ao2o22_x4.al
+alliance/cells/sxlib/buf_x2.al
+alliance/cells/sxlib/buf_x4.al
+alliance/cells/sxlib/buf_x8.al
+alliance/cells/sxlib/fulladder_x2.al
+alliance/cells/sxlib/fulladder_x4.al
+alliance/cells/sxlib/halfadder_x2.al
+alliance/cells/sxlib/halfadder_x4.al
+alliance/cells/sxlib/inv_x1.al
+alliance/cells/sxlib/inv_x2.al
+alliance/cells/sxlib/inv_x4.al
+alliance/cells/sxlib/inv_x8.al
+alliance/cells/sxlib/mx2_x2.al
+alliance/cells/sxlib/mx2_x4.al
+alliance/cells/sxlib/mx3_x2.al
+alliance/cells/sxlib/mx3_x4.al
+alliance/cells/sxlib/na2_x1.al
+alliance/cells/sxlib/na2_x4.al
+alliance/cells/sxlib/na3_x1.al
+alliance/cells/sxlib/na3_x4.al
+alliance/cells/sxlib/na4_x1.al
+alliance/cells/sxlib/na4_x4.al
+alliance/cells/sxlib/nao22_x1.al
+alliance/cells/sxlib/nao22_x4.al
+alliance/cells/sxlib/nao2o22_x1.al
+alliance/cells/sxlib/nao2o22_x4.al
+alliance/cells/sxlib/nmx2_x1.al
+alliance/cells/sxlib/nmx2_x4.al
+alliance/cells/sxlib/nmx3_x1.al
+alliance/cells/sxlib/nmx3_x4.al
+alliance/cells/sxlib/no2_x1.al
+alliance/cells/sxlib/no2_x4.al
+alliance/cells/sxlib/no3_x1.al
+alliance/cells/sxlib/no3_x4.al
+alliance/cells/sxlib/no4_x1.al
+alliance/cells/sxlib/no4_x4.al
+alliance/cells/sxlib/noa22_x1.al
+alliance/cells/sxlib/noa22_x4.al
+alliance/cells/sxlib/noa2a22_x1.al
+alliance/cells/sxlib/noa2a22_x4.al
+alliance/cells/sxlib/noa2a2a23_x1.al
+alliance/cells/sxlib/noa2a2a23_x4.al
+alliance/cells/sxlib/noa2a2a2a24_x1.al
+alliance/cells/sxlib/noa2a2a2a24_x4.al
+alliance/cells/sxlib/noa2ao222_x1.al
+alliance/cells/sxlib/noa2ao222_x4.al
+alliance/cells/sxlib/noa3ao322_x1.al
+alliance/cells/sxlib/noa3ao322_x4.al
+alliance/cells/sxlib/nts_x1.al
+alliance/cells/sxlib/nts_x2.al
+alliance/cells/sxlib/nxr2_x1.al
+alliance/cells/sxlib/nxr2_x4.al
+alliance/cells/sxlib/o2_x2.al
+alliance/cells/sxlib/o2_x4.al
+alliance/cells/sxlib/o3_x2.al
+alliance/cells/sxlib/o3_x4.al
+alliance/cells/sxlib/o4_x2.al
+alliance/cells/sxlib/o4_x4.al
+alliance/cells/sxlib/oa22_x2.al
+alliance/cells/sxlib/oa22_x4.al
+alliance/cells/sxlib/oa2a22_x2.al
+alliance/cells/sxlib/oa2a22_x4.al
+alliance/cells/sxlib/oa2a2a23_x2.al
+alliance/cells/sxlib/oa2a2a23_x4.al
+alliance/cells/sxlib/oa2a2a2a24_x2.al
+alliance/cells/sxlib/oa2a2a2a24_x4.al
+alliance/cells/sxlib/oa2ao222_x2.al
+alliance/cells/sxlib/oa2ao222_x4.al
+alliance/cells/sxlib/oa3ao322_x2.al
+alliance/cells/sxlib/oa3ao322_x4.al
+alliance/cells/sxlib/on12_x1.al
+alliance/cells/sxlib/on12_x4.al
+alliance/cells/sxlib/one_x0.al
+alliance/cells/sxlib/rowend_x0.al
+alliance/cells/sxlib/sff1_x4.al
+alliance/cells/sxlib/sff2_x4.al
+alliance/cells/sxlib/sff3_x4.al
+alliance/cells/sxlib/tie_x0.al
+alliance/cells/sxlib/ts_x4.al
+alliance/cells/sxlib/ts_x8.al
+alliance/cells/sxlib/xr2_x1.al
+alliance/cells/sxlib/xr2_x4.al
+alliance/cells/sxlib/zero_x0.al
+alliance/cells/sxlib/a2_x2.ap
+alliance/cells/sxlib/a2_x4.ap
+alliance/cells/sxlib/a3_x2.ap
+alliance/cells/sxlib/a3_x4.ap
+alliance/cells/sxlib/a4_x2.ap
+alliance/cells/sxlib/a4_x4.ap
+alliance/cells/sxlib/an12_x1.ap
+alliance/cells/sxlib/an12_x4.ap
+alliance/cells/sxlib/ao22_x2.ap
+alliance/cells/sxlib/ao22_x4.ap
+alliance/cells/sxlib/ao2o22_x2.ap
+alliance/cells/sxlib/ao2o22_x4.ap
+alliance/cells/sxlib/buf_x2.ap
+alliance/cells/sxlib/buf_x4.ap
+alliance/cells/sxlib/buf_x8.ap
+alliance/cells/sxlib/fulladder_x2.ap
+alliance/cells/sxlib/fulladder_x4.ap
+alliance/cells/sxlib/halfadder_x2.ap
+alliance/cells/sxlib/halfadder_x4.ap
+alliance/cells/sxlib/inv_x1.ap
+alliance/cells/sxlib/inv_x2.ap
+alliance/cells/sxlib/inv_x4.ap
+alliance/cells/sxlib/inv_x8.ap
+alliance/cells/sxlib/mx2_x2.ap
+alliance/cells/sxlib/mx2_x4.ap
+alliance/cells/sxlib/mx3_x2.ap
+alliance/cells/sxlib/mx3_x4.ap
+alliance/cells/sxlib/na2_x1.ap
+alliance/cells/sxlib/na2_x4.ap
+alliance/cells/sxlib/na3_x1.ap
+alliance/cells/sxlib/na3_x4.ap
+alliance/cells/sxlib/na4_x1.ap
+alliance/cells/sxlib/na4_x4.ap
+alliance/cells/sxlib/nao22_x1.ap
+alliance/cells/sxlib/nao22_x4.ap
+alliance/cells/sxlib/nao2o22_x1.ap
+alliance/cells/sxlib/nao2o22_x4.ap
+alliance/cells/sxlib/nmx2_x1.ap
+alliance/cells/sxlib/nmx2_x4.ap
+alliance/cells/sxlib/nmx3_x1.ap
+alliance/cells/sxlib/nmx3_x4.ap
+alliance/cells/sxlib/no2_x1.ap
+alliance/cells/sxlib/no2_x4.ap
+alliance/cells/sxlib/no3_x1.ap
+alliance/cells/sxlib/no3_x4.ap
+alliance/cells/sxlib/no4_x1.ap
+alliance/cells/sxlib/no4_x4.ap
+alliance/cells/sxlib/noa22_x1.ap
+alliance/cells/sxlib/noa22_x4.ap
+alliance/cells/sxlib/noa2a22_x1.ap
+alliance/cells/sxlib/noa2a22_x4.ap
+alliance/cells/sxlib/noa2a2a23_x1.ap
+alliance/cells/sxlib/noa2a2a23_x4.ap
+alliance/cells/sxlib/noa2a2a2a24_x1.ap
+alliance/cells/sxlib/noa2a2a2a24_x4.ap
+alliance/cells/sxlib/noa2ao222_x1.ap
+alliance/cells/sxlib/noa2ao222_x4.ap
+alliance/cells/sxlib/noa3ao322_x1.ap
+alliance/cells/sxlib/noa3ao322_x4.ap
+alliance/cells/sxlib/nts_x1.ap
+alliance/cells/sxlib/nts_x2.ap
+alliance/cells/sxlib/nxr2_x1.ap
+alliance/cells/sxlib/nxr2_x4.ap
+alliance/cells/sxlib/o2_x2.ap
+alliance/cells/sxlib/o2_x4.ap
+alliance/cells/sxlib/o3_x2.ap
+alliance/cells/sxlib/o3_x4.ap
+alliance/cells/sxlib/o4_x2.ap
+alliance/cells/sxlib/o4_x4.ap
+alliance/cells/sxlib/oa22_x2.ap
+alliance/cells/sxlib/oa22_x4.ap
+alliance/cells/sxlib/oa2a22_x2.ap
+alliance/cells/sxlib/oa2a22_x4.ap
+alliance/cells/sxlib/oa2a2a23_x2.ap
+alliance/cells/sxlib/oa2a2a23_x4.ap
+alliance/cells/sxlib/oa2a2a2a24_x2.ap
+alliance/cells/sxlib/oa2a2a2a24_x4.ap
+alliance/cells/sxlib/oa2ao222_x2.ap
+alliance/cells/sxlib/oa2ao222_x4.ap
+alliance/cells/sxlib/oa3ao322_x2.ap
+alliance/cells/sxlib/oa3ao322_x4.ap
+alliance/cells/sxlib/on12_x1.ap
+alliance/cells/sxlib/on12_x4.ap
+alliance/cells/sxlib/one_x0.ap
+alliance/cells/sxlib/powmid_x0.ap
+alliance/cells/sxlib/rowend_x0.ap
+alliance/cells/sxlib/sff1_x4.ap
+alliance/cells/sxlib/sff2_x4.ap
+alliance/cells/sxlib/sff3_x4.ap
+alliance/cells/sxlib/tie_x0.ap
+alliance/cells/sxlib/ts_x4.ap
+alliance/cells/sxlib/ts_x8.ap
+alliance/cells/sxlib/xr2_x1.ap
+alliance/cells/sxlib/xr2_x4.ap
+alliance/cells/sxlib/zero_x0.ap
+alliance/cells/sxlib/000000002.dat
+alliance/cells/sxlib/000000003.dat
+alliance/cells/sxlib/000000004.dat
+alliance/cells/sxlib/000000005.dat
+alliance/cells/sxlib/000000006.dat
+alliance/cells/sxlib/000000007.dat
+alliance/cells/sxlib/000000008.dat
+alliance/cells/sxlib/000000009.dat
+alliance/cells/sxlib/000000010.dat
+alliance/cells/sxlib/000000011.dat
+alliance/cells/sxlib/000000012.dat
+alliance/cells/sxlib/000000013.dat
+alliance/cells/sxlib/000000014.dat
+alliance/cells/sxlib/000000015.dat
+alliance/cells/sxlib/000000016.dat
+alliance/cells/sxlib/000000017.dat
+alliance/cells/sxlib/000000018.dat
+alliance/cells/sxlib/000000019.dat
+alliance/cells/sxlib/000000020.dat
+alliance/cells/sxlib/000000021.dat
+alliance/cells/sxlib/000000022.dat
+alliance/cells/sxlib/000000023.dat
+alliance/cells/sxlib/000000024.dat
+alliance/cells/sxlib/000000025.dat
+alliance/cells/sxlib/000000026.dat
+alliance/cells/sxlib/000000027.dat
+alliance/cells/sxlib/000000028.dat
+alliance/cells/sxlib/000000029.dat
+alliance/cells/sxlib/000000030.dat
+alliance/cells/sxlib/000000031.dat
+alliance/cells/sxlib/000000032.dat
+alliance/cells/sxlib/000000033.dat
+alliance/cells/sxlib/000000034.dat
+alliance/cells/sxlib/000000035.dat
+alliance/cells/sxlib/000000036.dat
+alliance/cells/sxlib/000000037.dat
+alliance/cells/sxlib/000000038.dat
+alliance/cells/sxlib/000000039.dat
+alliance/cells/sxlib/000000040.dat
+alliance/cells/sxlib/000000041.dat
+alliance/cells/sxlib/000000042.dat
+alliance/cells/sxlib/000000043.dat
+alliance/cells/sxlib/000000044.dat
+alliance/cells/sxlib/000000045.dat
+alliance/cells/sxlib/000000046.dat
+alliance/cells/sxlib/000000047.dat
+alliance/cells/sxlib/000000048.dat
+alliance/cells/sxlib/000000049.dat
+alliance/cells/sxlib/000000050.dat
+alliance/cells/sxlib/000000051.dat
+alliance/cells/sxlib/000000052.dat
+alliance/cells/sxlib/000000053.dat
+alliance/cells/sxlib/000000054.dat
+alliance/cells/sxlib/000000055.dat
+alliance/cells/sxlib/000000056.dat
+alliance/cells/sxlib/000000057.dat
+alliance/cells/sxlib/000000058.dat
+alliance/cells/sxlib/000000059.dat
+alliance/cells/sxlib/000000060.dat
+alliance/cells/sxlib/000000061.dat
+alliance/cells/sxlib/000000062.dat
+alliance/cells/sxlib/000000063.dat
+alliance/cells/sxlib/000000064.dat
+alliance/cells/sxlib/000000065.dat
+alliance/cells/sxlib/000000066.dat
+alliance/cells/sxlib/000000067.dat
+alliance/cells/sxlib/000000068.dat
+alliance/cells/sxlib/000000069.dat
+alliance/cells/sxlib/000000070.dat
+alliance/cells/sxlib/000000071.dat
+alliance/cells/sxlib/000000072.dat
+alliance/cells/sxlib/000000073.dat
+alliance/cells/sxlib/000000074.dat
+alliance/cells/sxlib/000000075.dat
+alliance/cells/sxlib/000000076.dat
+alliance/cells/sxlib/000000077.dat
+alliance/cells/sxlib/000000078.dat
+alliance/cells/sxlib/000000079.dat
+alliance/cells/sxlib/000000080.dat
+alliance/cells/sxlib/000000081.dat
+alliance/cells/sxlib/000000082.dat
+alliance/cells/sxlib/000000083.dat
+alliance/cells/sxlib/000000084.dat
+alliance/cells/sxlib/000000085.dat
+alliance/cells/sxlib/000000086.dat
+alliance/cells/sxlib/000000087.dat
+alliance/cells/sxlib/000000088.dat
+alliance/cells/sxlib/000000089.dat
+alliance/cells/sxlib/000000090.dat
+alliance/cells/sxlib/000000091.dat
+alliance/cells/sxlib/000000092.dat
+alliance/cells/sxlib/000000093.dat
+alliance/cells/sxlib/000000094.dat
+alliance/cells/sxlib/000000095.dat
+alliance/cells/sxlib/CIRCUIT.IDX
+alliance/cells/sxlib/sxlib.cct
+alliance/cells/sxlib/sxlib.db
+alliance/cells/sxlib/sxlib.lef
+alliance/cells/sxlib/sxlib.lib
+alliance/cells/sxlib/sxlib.sdb
+alliance/cells/sxlib/sxlib.slib
+alliance/cells/sxlib/a2_x2.sym
+alliance/cells/sxlib/a2_x4.sym
+alliance/cells/sxlib/a3_x2.sym
+alliance/cells/sxlib/a3_x4.sym
+alliance/cells/sxlib/a4_x2.sym
+alliance/cells/sxlib/nao2o22_x1.sym
+alliance/cells/sxlib/a4_x4.sym
+alliance/cells/sxlib/an12_x1.sym
+alliance/cells/sxlib/an12_x4.sym
+alliance/cells/sxlib/ao22_x2.sym
+alliance/cells/sxlib/ao22_x4.sym
+alliance/cells/sxlib/ao2o22_x2.sym
+alliance/cells/sxlib/ao2o22_x4.sym
+alliance/cells/sxlib/buf_x2.sym
+alliance/cells/sxlib/buf_x4.sym
+alliance/cells/sxlib/buf_x8.sym
+alliance/cells/sxlib/inv_x1.sym
+alliance/cells/sxlib/inv_x2.sym
+alliance/cells/sxlib/inv_x4.sym
+alliance/cells/sxlib/inv_x8.sym
+alliance/cells/sxlib/mx2_x2.sym
+alliance/cells/sxlib/mx2_x4.sym
+alliance/cells/sxlib/na2_x1.sym
+alliance/cells/sxlib/na2_x4.sym
+alliance/cells/sxlib/na3_x1.sym
+alliance/cells/sxlib/na3_x4.sym
+alliance/cells/sxlib/na4_x1.sym
+alliance/cells/sxlib/na4_x4.sym
+alliance/cells/sxlib/nao22_x1.sym
+alliance/cells/sxlib/nao22_x4.sym
+alliance/cells/sxlib/CATAL
+alliance/cells/sxlib/nao2o22_x4.sym
+alliance/cells/sxlib/nmx2_x1.sym
+alliance/cells/sxlib/nmx2_x4.sym
+alliance/cells/sxlib/no2_x1.sym
+alliance/cells/sxlib/no2_x4.sym
+alliance/cells/sxlib/no3_x1.sym
+alliance/cells/sxlib/no3_x4.sym
+alliance/cells/sxlib/no4_x1.sym
+alliance/cells/sxlib/no4_x4.sym
+alliance/cells/sxlib/noa22_x1.sym
+alliance/cells/sxlib/noa22_x4.sym
+alliance/cells/sxlib/noa2a22_x1.sym
+alliance/cells/sxlib/noa2a22_x4.sym
+alliance/cells/sxlib/noa2a2a23_x1.sym
+alliance/cells/sxlib/noa2a2a23_x4.sym
+alliance/cells/sxlib/noa2a2a2a24_x1.sym
+alliance/cells/sxlib/noa2a2a2a24_x4.sym
+alliance/cells/sxlib/noa2ao222_x2.sym
+alliance/cells/sxlib/noa2ao222_x4.sym
+alliance/cells/sxlib/noa3ao322_x4.sym
+alliance/cells/sxlib/noa22_x1.vbe
+alliance/cells/sxlib/nts_x1.sym
+alliance/cells/sxlib/nts_x2.sym
+alliance/cells/sxlib/nxr2_x1.sym
+alliance/cells/sxlib/nxr2_x4.sym
+alliance/cells/sxlib/o2_x2.sym
+alliance/cells/sxlib/o2_x4.sym
+alliance/cells/sxlib/o3_x2.sym
+alliance/cells/sxlib/o3_x4.sym
+alliance/cells/sxlib/o4_x2.sym
+alliance/cells/sxlib/o4_x4.sym
+alliance/cells/sxlib/oa22_x2.sym
+alliance/cells/sxlib/oa22_x4.sym
+alliance/cells/sxlib/oa2a22_x2.sym
+alliance/cells/sxlib/oa2a22_x4.sym
+alliance/cells/sxlib/oa2a2a23_x2.sym
+alliance/cells/sxlib/oa2a2a23_x4.sym
+alliance/cells/sxlib/oa2a2a2a24_x2.sym
+alliance/cells/sxlib/oa2a2a2a24_x4.sym
+alliance/cells/sxlib/oa2ao222_x2.sym
+alliance/cells/sxlib/oa2ao222_x4.sym
+alliance/cells/sxlib/oa3ao322_x1.sym
+alliance/cells/sxlib/oa3ao322_x2.sym
+alliance/cells/sxlib/oa3ao322_x4.sym
+alliance/cells/sxlib/on12_x1.sym
+alliance/cells/sxlib/on12_x4.sym
+alliance/cells/sxlib/one_x0.sym
+alliance/cells/sxlib/sff1_x4.sym
+alliance/cells/sxlib/sff2_x4.sym
+alliance/cells/sxlib/ts_x4.sym
+alliance/cells/sxlib/ts_x8.sym
+alliance/cells/sxlib/xr2_x1.sym
+alliance/cells/sxlib/xr2_x4.sym
+alliance/cells/sxlib/zero_x0.sym
+alliance/cells/sxlib/a2_x2.vbe
+alliance/cells/sxlib/a2_x4.vbe
+alliance/cells/sxlib/a3_x2.vbe
+alliance/cells/sxlib/a3_x4.vbe
+alliance/cells/sxlib/a4_x2.vbe
+alliance/cells/sxlib/a4_x4.vbe
+alliance/cells/sxlib/an12_x1.vbe
+alliance/cells/sxlib/an12_x4.vbe
+alliance/cells/sxlib/ao22_x2.vbe
+alliance/cells/sxlib/ao22_x4.vbe
+alliance/cells/sxlib/ao2o22_x2.vbe
+alliance/cells/sxlib/ao2o22_x4.vbe
+alliance/cells/sxlib/buf_x2.vbe
+alliance/cells/sxlib/buf_x4.vbe
+alliance/cells/sxlib/buf_x8.vbe
+alliance/cells/sxlib/fulladder_x2.vbe
+alliance/cells/sxlib/fulladder_x4.vbe
+alliance/cells/sxlib/halfadder_x2.vbe
+alliance/cells/sxlib/halfadder_x4.vbe
+alliance/cells/sxlib/inv_x1.vbe
+alliance/cells/sxlib/inv_x2.vbe
+alliance/cells/sxlib/inv_x4.vbe
+alliance/cells/sxlib/inv_x8.vbe
+alliance/cells/sxlib/mx2_x2.vbe
+alliance/cells/sxlib/mx2_x4.vbe
+alliance/cells/sxlib/mx3_x2.vbe
+alliance/cells/sxlib/mx3_x4.vbe
+alliance/cells/sxlib/na2_x1.vbe
+alliance/cells/sxlib/na2_x4.vbe
+alliance/cells/sxlib/na3_x1.vbe
+alliance/cells/sxlib/na3_x4.vbe
+alliance/cells/sxlib/na4_x1.vbe
+alliance/cells/sxlib/na4_x4.vbe
+alliance/cells/sxlib/nao22_x1.vbe
+alliance/cells/sxlib/nao22_x4.vbe
+alliance/cells/sxlib/nao2o22_x1.vbe
+alliance/cells/sxlib/nao2o22_x4.vbe
+alliance/cells/sxlib/nmx2_x1.vbe
+alliance/cells/sxlib/nmx2_x4.vbe
+alliance/cells/sxlib/nmx3_x1.vbe
+alliance/cells/sxlib/nmx3_x4.vbe
+alliance/cells/sxlib/no2_x1.vbe
+alliance/cells/sxlib/no2_x4.vbe
+alliance/cells/sxlib/no3_x1.vbe
+alliance/cells/sxlib/no3_x4.vbe
+alliance/cells/sxlib/no4_x1.vbe
+alliance/cells/sxlib/no4_x4.vbe
+alliance/cells/sxlib/noa22_x4.vbe
+alliance/cells/sxlib/noa2a22_x1.vbe
+alliance/cells/sxlib/noa2a22_x4.vbe
+alliance/cells/sxlib/noa2a2a23_x1.vbe
+alliance/cells/sxlib/noa2a2a23_x4.vbe
+alliance/cells/sxlib/noa2a2a2a24_x1.vbe
+alliance/cells/sxlib/noa2a2a2a24_x4.vbe
+alliance/cells/sxlib/noa2ao222_x1.vbe
+alliance/cells/sxlib/noa2ao222_x4.vbe
+alliance/cells/sxlib/noa3ao322_x1.vbe
+alliance/cells/sxlib/noa3ao322_x4.vbe
+alliance/cells/sxlib/fulladder_x2.vhd
+alliance/cells/sxlib/nts_x1.vbe
+alliance/cells/sxlib/nts_x2.vbe
+alliance/cells/sxlib/nxr2_x1.vbe
+alliance/cells/sxlib/nxr2_x4.vbe
+alliance/cells/sxlib/o2_x2.vbe
+alliance/cells/sxlib/o2_x4.vbe
+alliance/cells/sxlib/o3_x2.vbe
+alliance/cells/sxlib/o3_x4.vbe
+alliance/cells/sxlib/o4_x2.vbe
+alliance/cells/sxlib/o4_x4.vbe
+alliance/cells/sxlib/oa22_x2.vbe
+alliance/cells/sxlib/oa22_x4.vbe
+alliance/cells/sxlib/oa2a22_x2.vbe
+alliance/cells/sxlib/oa2a22_x4.vbe
+alliance/cells/sxlib/oa2a2a23_x2.vbe
+alliance/cells/sxlib/oa2a2a23_x4.vbe
+alliance/cells/sxlib/oa2a2a2a24_x2.vbe
+alliance/cells/sxlib/oa2a2a2a24_x4.vbe
+alliance/cells/sxlib/oa2ao222_x2.vbe
+alliance/cells/sxlib/oa2ao222_x4.vbe
+alliance/cells/sxlib/oa3ao322_x2.vbe
+alliance/cells/sxlib/oa3ao322_x4.vbe
+alliance/cells/sxlib/on12_x1.vbe
+alliance/cells/sxlib/on12_x4.vbe
+alliance/cells/sxlib/one_x0.vbe
+alliance/cells/sxlib/powmid_x0.vbe
+alliance/cells/sxlib/rowend_x0.vbe
+alliance/cells/sxlib/sff1_x4.vbe
+alliance/cells/sxlib/sff2_x4.vbe
+alliance/cells/sxlib/sff3_x4.vbe
+alliance/cells/sxlib/tie_x0.vbe
+alliance/cells/sxlib/ts_x4.vbe
+alliance/cells/sxlib/ts_x8.vbe
+alliance/cells/sxlib/xr2_x1.vbe
+alliance/cells/sxlib/xr2_x4.vbe
+alliance/cells/sxlib/zero_x0.vbe
+alliance/cells/sxlib/a2_x2.vhd
+alliance/cells/sxlib/a2_x4.vhd
+alliance/cells/sxlib/a3_x2.vhd
+alliance/cells/sxlib/a3_x4.vhd
+alliance/cells/sxlib/a4_x2.vhd
+alliance/cells/sxlib/a4_x4.vhd
+alliance/cells/sxlib/an12_x1.vhd
+alliance/cells/sxlib/an12_x4.vhd
+alliance/cells/sxlib/ao22_x2.vhd
+alliance/cells/sxlib/ao22_x4.vhd
+alliance/cells/sxlib/ao2o22_x2.vhd
+alliance/cells/sxlib/buf_x2.vhd
+alliance/cells/sxlib/ao2o22_x4.vhd
+alliance/cells/sxlib/buf_x4.vhd
+alliance/cells/sxlib/buf_x8.vhd
+alliance/cells/sxlib/fulladder_x4.vhd
+alliance/cells/sxlib/halfadder_x2.vhd
+alliance/cells/sxlib/halfadder_x4.vhd
+alliance/cells/sxlib/inv_x1.vhd
+alliance/cells/sxlib/inv_x2.vhd
+alliance/cells/sxlib/inv_x4.vhd
+alliance/cells/sxlib/inv_x8.vhd
+alliance/cells/sxlib/mx2_x2.vhd
+alliance/cells/sxlib/mx2_x4.vhd
+alliance/cells/sxlib/mx3_x2.vhd
+alliance/cells/sxlib/mx3_x4.vhd
+alliance/cells/sxlib/na2_x1.vhd
+alliance/cells/sxlib/na2_x4.vhd
+alliance/cells/sxlib/na3_x1.vhd
+alliance/cells/sxlib/na3_x4.vhd
+alliance/cells/sxlib/na4_x1.vhd
+alliance/cells/sxlib/na4_x4.vhd
+alliance/cells/sxlib/nao22_x1.vhd
+alliance/cells/sxlib/nao22_x4.vhd
+alliance/cells/sxlib/noa3ao322_x1.vhd
+alliance/cells/sxlib/nao2o22_x1.vhd
+alliance/cells/sxlib/nao2o22_x4.vhd
+alliance/cells/sxlib/nmx2_x1.vhd
+alliance/cells/sxlib/nmx2_x4.vhd
+alliance/cells/sxlib/nmx3_x1.vhd
+alliance/cells/sxlib/nmx3_x4.vhd
+alliance/cells/sxlib/no2_x1.vhd
+alliance/cells/sxlib/no2_x4.vhd
+alliance/cells/sxlib/no3_x1.vhd
+alliance/cells/sxlib/no3_x4.vhd
+alliance/cells/sxlib/no4_x1.vhd
+alliance/cells/sxlib/no4_x4.vhd
+alliance/cells/sxlib/noa22_x1.vhd
+alliance/cells/sxlib/noa22_x4.vhd
+alliance/cells/sxlib/noa2a22_x1.vhd
+alliance/cells/sxlib/noa2a22_x4.vhd
+alliance/cells/sxlib/noa2a2a23_x1.vhd
+alliance/cells/sxlib/noa2a2a23_x4.vhd
+alliance/cells/sxlib/noa2a2a2a24_x1.vhd
+alliance/cells/sxlib/noa2a2a2a24_x4.vhd
+alliance/cells/sxlib/noa2ao222_x1.vhd
+alliance/cells/sxlib/noa2ao222_x4.vhd
+alliance/cells/sxlib/noa3ao322_x4.vhd
+alliance/cells/sxlib/nts_x1.vhd
+alliance/cells/sxlib/nts_x2.vhd
+alliance/cells/sxlib/nxr2_x1.vhd
+alliance/cells/sxlib/nxr2_x4.vhd
+alliance/cells/sxlib/o2_x2.vhd
+alliance/cells/sxlib/o2_x4.vhd
+alliance/cells/sxlib/o3_x2.vhd
+alliance/cells/sxlib/o3_x4.vhd
+alliance/cells/sxlib/o4_x2.vhd
+alliance/cells/sxlib/o4_x4.vhd
+alliance/cells/sxlib/oa22_x2.vhd
+alliance/cells/sxlib/oa22_x4.vhd
+alliance/cells/sxlib/oa2a22_x2.vhd
+alliance/cells/sxlib/oa2a22_x4.vhd
+alliance/cells/sxlib/oa2a2a23_x2.vhd
+alliance/cells/sxlib/oa2a2a23_x4.vhd
+alliance/cells/sxlib/oa2a2a2a24_x2.vhd
+alliance/cells/sxlib/oa2a2a2a24_x4.vhd
+alliance/cells/sxlib/oa2ao222_x2.vhd
+alliance/cells/sxlib/oa2ao222_x4.vhd
+alliance/cells/sxlib/zero_x0.vhd
+alliance/cells/sxlib/oa3ao322_x2.vhd
+alliance/cells/sxlib/oa3ao322_x4.vhd
+alliance/cells/sxlib/on12_x1.vhd
+alliance/cells/sxlib/on12_x4.vhd
+alliance/cells/sxlib/one_x0.vhd
+alliance/cells/sxlib/powmid_x0.vhd
+alliance/cells/sxlib/rowend_x0.vhd
+alliance/cells/sxlib/sff1_x4.vhd
+alliance/cells/sxlib/sff2_x4.vhd
+alliance/cells/sxlib/sff3_x4.vhd
+alliance/cells/sxlib/sxlib_FTGS.vhd
+alliance/cells/sxlib/sxlib_FTSM.vhd
+alliance/cells/sxlib/sxlib_UDSM.vhd
+alliance/cells/sxlib/sxlib_VITAL.vhd
+alliance/cells/sxlib/sxlib_Vcomponents.vhd
+alliance/cells/sxlib/sxlib_Vtables.vhd
+alliance/cells/sxlib/sxlib_components.vhd
+alliance/cells/sxlib/tie_x0.vhd
+alliance/cells/sxlib/ts_x4.vhd
+alliance/cells/sxlib/ts_x8.vhd
+alliance/cells/sxlib/xr2_x1.vhd
+alliance/cells/sxlib/xr2_x4.vhd
+@dirrm alliance/cells/sxlib
+@dirrm alliance/cells
+@unexec rmdir %D/alliance > /dev/null 2>&1 || true